Proceedings of the 2012 ACM International Symposium on International Symposium on Physical Design 2012
DOI: 10.1145/2160916.2160956
|View full text |Cite
|
Sign up to set email alerts
|

Optimal slack-driven block shaping algorithm in fixed-outline floorplanning

Abstract: This paper presents an efficient, scalable and optimal slack-driven shaping algorithm for soft blocks in non-slicing floorplan. The proposed algorithm is called SDS. Different from all previous approaches, SDS is specifically formulated for fixed-outline floorplanning. Given a fixed upper bound on the layout width, SDS minimizes the layout height by only shaping the soft blocks in the design. Iteratively, SDS shapes some soft blocks to minimize the layout height, with the guarantee that the layout width would … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1

Citation Types

0
7
0

Year Published

2012
2012
2016
2016

Publication Types

Select...
4
4

Relationship

2
6

Authors

Journals

citations
Cited by 11 publications
(7 citation statements)
references
References 20 publications
0
7
0
Order By: Relevance
“…where I i and z i are the light intensity and the corresponding reaction result of the photoresist at pixel i on the wafer surface, respectively, and t r is the threshold of the photoresist. Thus, the pattern z formed on the wafer surface can be expressed as a function of the mask M based on (2) and (3). In order to make z differentiable so that gradient-based search can be applied, we approximate the above constant threshold model with the sigmoid function…”
Section: Photoresist Modelmentioning
confidence: 99%
See 1 more Smart Citation
“…where I i and z i are the light intensity and the corresponding reaction result of the photoresist at pixel i on the wafer surface, respectively, and t r is the threshold of the photoresist. Thus, the pattern z formed on the wafer surface can be expressed as a function of the mask M based on (2) and (3). In order to make z differentiable so that gradient-based search can be applied, we approximate the above constant threshold model with the sigmoid function…”
Section: Photoresist Modelmentioning
confidence: 99%
“…For modern very large-scale integration (VLSI) design, the traditional VLSI physical design problems (e.g., floorplanning [1][2][3], clustering [4,5], placement [6], and routing) used to play the critical role on coping with the everincreasing design complexity. However, as semiconductor manufacturers move to advanced process nodes (especially 45 nm process and below), lithography has become a greater challenge due to the fundamental constraints of optical physics.…”
Section: Introductionmentioning
confidence: 99%
“…As the aspect ratio (the height divided by the width) of soft module varies continuously, the analytical method [11]- [15] is the most effective algorithm to handle floorplanning with soft modules. Luo et al [11] introduced a nonlinear optimization methodology.…”
Section: Introductionmentioning
confidence: 99%
“…Given a topological structure, the above two methods could determine a corresponding layout by analytical approaches. Yan et al [15] developed an optimal slack-driven block shaping (SDS) algorithm to shape the soft modules such that the resulting layout is inside the fixed outline.…”
Section: Introductionmentioning
confidence: 99%
“…• An enhanced simulated annealing based framework is adopted as part of the fixed-outline floorplanning step. One of the key enhancement we propose is a slack-driven block shaping algorithm, call SDS [16]. SDS is an efficient, scalable and optimal shaping algorithm that is specifically formulated for fixed-outline floorplanning.…”
mentioning
confidence: 99%