2020
DOI: 10.1145/3410048.3410098
|View full text |Cite
|
Sign up to set email alerts
|

Optimal Data Placement for Heterogeneous Cache, Memory, and Storage Systems

Abstract: New memory technologies are blurring the previously distinctive performance characteristics of adjacent layers in the memory hierarchy. No longer are such layers orders of magnitude different in request latency or capacity. Beyond the traditional single-layer view of caching, we now must re-cast the problem as a data placement challenge: which data should be cached in faster memory if it could instead be served directly from slower memory? We present Chopt, an offline algorithm for data placement acr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 2 publications
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?