2019 IEEE 25th International Symposium for Design and Technology in Electronic Packaging (SIITME) 2019
DOI: 10.1109/siitme47687.2019.8990751
|View full text |Cite
|
Sign up to set email alerts
|

Opportunities of using artificial intelligence in hardware verification

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
4
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
3
3
1

Relationship

0
7

Authors

Journals

citations
Cited by 9 publications
(4 citation statements)
references
References 2 publications
0
4
0
Order By: Relevance
“…Functional verification, the application of which is automated in the present work, is one of the most time consuming steps in the manufacturing process of integrated circuits [4]. This process consists in checking the design functionality (which is implemented through a Hardware Description Language, or HDL) against the specification of the system.…”
Section: Functional Verification: Implementation and Challengesmentioning
confidence: 99%
“…Functional verification, the application of which is automated in the present work, is one of the most time consuming steps in the manufacturing process of integrated circuits [4]. This process consists in checking the design functionality (which is implemented through a Hardware Description Language, or HDL) against the specification of the system.…”
Section: Functional Verification: Implementation and Challengesmentioning
confidence: 99%
“…Coverage is the most important metric of the verification process and is intensely collected during each test run in the traditional approach [ 14 ]. However, collecting coverage makes simulations run slower.…”
Section: Literature Reviewmentioning
confidence: 99%
“…In [11], authors proposed using features in the e language along with universal verification methodology UVM [12] phasing to enable a DNN model learning from coverage results of a processor DUT. Coverage is dynamically read using Specman coverage API.…”
Section: Stimulus and Test Generationmentioning
confidence: 99%
“…Other attempts incorporated additional different ML models such as Markov models and inductive logic programming to reach a faster coverage convergence rate [6][7][8]. More recent research in the domain of stimulus and test generation used a combination of supervised and unsupervised ML models such as neural networks, random forest and support vector machines to reduce the amount of needed input iterations and testcases to reach the planned coverage goals [9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28]. In the scope of coverage collection, there are studies that show improvements in both the runtime of simulations that capture coverage and the percentage of coverage reached, when either a supervised or unsupervised ML model is used [29][30][31].…”
Section: Introductionmentioning
confidence: 99%