2009 10th International Symposium on Quality of Electronic Design 2009
DOI: 10.1109/isqed.2009.4810264
|View full text |Cite
|
Sign up to set email alerts
|

On the efficacy of input Vector Control to mitigate NBTI effects and leakage power

Abstract: Abstract-1 As technology scales, the aging effect caused by Negative Bias Temperature Instability (NBTI) has become a major reliability concerns for circuit designers. Consequently, we have seen a lot of research efforts on NBTI analysis and mitigation techniques. On the other hand, reducing leakage power remains to be one of the major design goals. Both NBTI-induced circuit degradation and standby leakage power have a strong dependency on the input patterns of circuits. In this paper, we propose a co-simulati… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
25
0

Year Published

2011
2011
2021
2021

Publication Types

Select...
4
4
1

Relationship

0
9

Authors

Journals

citations
Cited by 58 publications
(25 citation statements)
references
References 26 publications
0
25
0
Order By: Relevance
“…In this paper, we implemented the traditional IVC method which was proposed in [22]. The optimal input vector of IVC is obtained from 2000 input vectors which are generated randomly.…”
Section: Co-optimization Resultsmentioning
confidence: 99%
See 1 more Smart Citation
“…In this paper, we implemented the traditional IVC method which was proposed in [22]. The optimal input vector of IVC is obtained from 2000 input vectors which are generated randomly.…”
Section: Co-optimization Resultsmentioning
confidence: 99%
“…In [5], Wang et al explored the possibility that using the minimum leakage vector (MLV) to reduce NBTI-induced delay degradation. In the followed work [22], they evaluated the effectiveness of IVC on simultaneously reducing circuit aging and leakage at different processes.…”
Section: Introductionmentioning
confidence: 99%
“…However, the impacts of the input vector on delay degradation and leakage power are not in a same direction; the optimal input vector for minimizing the postaging delay may not be the best one to minimize the leakage power and vice versa. Wang et al proposed a probability-based (PB) method to find the best input vector that has the minimum NBTI effect and/or leakage for the circuit [33]. However, the PB method is based on heuristic and random simulations, so the computation cost is high and the result may not be the optimal.…”
Section: Minimum Nbti Vector Selection Considering Power Effectmentioning
confidence: 99%
“…Other proposed ideas include online test techniques for failure analysis, introspective sensor-based methods for reduced aging, and intelligent power gating. Finally, circuits may be sent to sleep states that are designed to minimize BTI degradation through input vector control [22]: however, the gains of such methods are relatively small.…”
Section: Circuit Optimizationmentioning
confidence: 99%