2022
DOI: 10.3390/s22041382
|View full text |Cite
|
Sign up to set email alerts
|

On-Chip Structures for Fmax Binning and Optimization

Abstract: Process variations during manufacturing lead to differences in the performance of the chips. In order to better utilize the performance of the chips, it is necessary to perform maximum operation frequency (Fmax) tests to place the chips into different speed bins. For most Fmax tests, significant efforts are put in place to reduce test cost and improve binning accuracy; e.g., our conference paper published in ICICM 2017 presents a novel binning sensor for low-cost and accurate speed binning. However, by promoti… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2023
2023
2023
2023

Publication Types

Select...
1

Relationship

0
1

Authors

Journals

citations
Cited by 1 publication
references
References 35 publications
(36 reference statements)
0
0
0
Order By: Relevance