Design, Automation &Amp; Test in Europe Conference &Amp; Exhibition (DATE), 2013 2013
DOI: 10.7873/date.2013.225
|View full text |Cite
|
Sign up to set email alerts
|

Non-Invasive Pre-Bond TSV Test Using Ring Oscillators and Multiple Voltage Levels

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
14
0

Year Published

2013
2013
2017
2017

Publication Types

Select...
5
2
2

Relationship

1
8

Authors

Journals

citations
Cited by 27 publications
(14 citation statements)
references
References 0 publications
0
14
0
Order By: Relevance
“…This value is considered as the reference value for a fault-free TSV in the sequel of this paper. As in [10], the TSV model used for spice simulations is a pure capacitance.…”
Section: B Spice Simulation Resultsmentioning
confidence: 99%
See 1 more Smart Citation
“…This value is considered as the reference value for a fault-free TSV in the sequel of this paper. As in [10], the TSV model used for spice simulations is a pure capacitance.…”
Section: B Spice Simulation Resultsmentioning
confidence: 99%
“…Due to incomplete filling or micro-voids, the capacitance typically decreases. In [10] an R, L, C model of the TSV is simplified to a pure capacitance model. We consider faulty TSVs to have smaller capacitance than non faulty ones.…”
Section: Tsv Failure Detection Using Ring Oscillatorsmentioning
confidence: 99%
“…Pre-bond TSV testing remains one of the major challenges in a 3D test flow due to the limited access to TSVs [47]. In the following paragraphs, we review several methods for pre-bond TSV test that have been proposed in the literature.…”
Section: Pre-bond Tsv Testmentioning
confidence: 99%
“…However, the fabrication process of TSV occasionally causes defects related to their conductor and insulator, e.g., voids and pin-holes [1][2][3] A void increases the resistance of the corresponding TSV and a pin-hole reduces the resistance between the corresponding TSV and the substrate [3][4][5]. These defects cause variations in the resistance and equivalent capacitance of TSVs those can be modeled as a propagation delay fault [4].…”
Section: Introductionmentioning
confidence: 99%