2014 IEEE 10th International Conference on Intelligent Computer Communication and Processing (ICCP) 2014
DOI: 10.1109/iccp.2014.6936772
|View full text |Cite
|
Sign up to set email alerts
|

Multi-objective hardware-software co-optimization for the SNIPER multi-core simulator

Abstract: Modern complex microarchitectures with multicore systems like CPUs, APUs (accelerated processing units) and GPUs require hundreds or thousands of hardware parameters to be fine-tuned to get the best results regarding different objectives like: performance, hardware complexity (integration area), power consumption, temperature, etc. These are only a few of the objectives needed to be taken into consideration when designing a new multicore system. Exploring this huge design space requires special tools like auto… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
3
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
3

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(3 citation statements)
references
References 15 publications
0
3
0
Order By: Relevance
“…The algorithm designed for the Fat-Tree topology is subjected to simulation using the Sniper simulator. This simulator, renowned for its high-performance capabilities, operates as a parallel and cycle-accurate tool specially crafted for the next-generation simulation needs [26,27]. Within this simulation environment, a diverse array of computing scenarios can be explored, including both homogeneous and heterogeneous multicore architectures.…”
Section: Experimental Setup and Results Analysismentioning
confidence: 99%
“…The algorithm designed for the Fat-Tree topology is subjected to simulation using the Sniper simulator. This simulator, renowned for its high-performance capabilities, operates as a parallel and cycle-accurate tool specially crafted for the next-generation simulation needs [26,27]. Within this simulation environment, a diverse array of computing scenarios can be explored, including both homogeneous and heterogeneous multicore architectures.…”
Section: Experimental Setup and Results Analysismentioning
confidence: 99%
“…The critical feature of the sniper simulator is has a core model that depends on the period simulation [10]. The main reason which makes the simulator be faster development and evaluation times by using intervals which mean 'jumping' between miss events [17].…”
Section: B Sniper Simulatormentioning
confidence: 99%
“…It is a high speed simulator for x86 architecture. SNIPER has been developed for calculating the performance of a processor, the energy consumed by the processor and the integration area [15]. SNIPER has been extensively exploited for obtaining results.…”
Section: Sniper Simulatormentioning
confidence: 99%