2021
DOI: 10.3390/mi12101243
|View full text |Cite
|
Sign up to set email alerts
|

Multi-Input Logic-in-Memory for Ultra-Low Power Non-Von Neumann Computing

Abstract: Logic-in-memory (LIM) circuits based on the material implication logic (IMPLY) and resistive random access memory (RRAM) technologies are a candidate solution for the development of ultra-low power non-von Neumann computing architectures. Such architectures could enable the energy-efficient implementation of hardware accelerators for novel edge computing paradigms such as binarized neural networks (BNNs) which rely on the execution of logic operations. In this work, we present the multi-input IMPLY operation i… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
18
0

Year Published

2021
2021
2024
2024

Publication Types

Select...
3
1
1
1

Relationship

2
4

Authors

Journals

citations
Cited by 7 publications
(18 citation statements)
references
References 51 publications
0
18
0
Order By: Relevance
“…Also, the multi-input IMPLY operation was proposed in [30] to speed up computations, and its feasibility up to four inputs operations was demonstrated by means of circuit simulations on SIMPLY-based architectures in [31]. In the SIMPLY framework the multi-input IMPLY operation (hereafter called n-IMPLY, where n indicates the number of inputs) is executed in the same way as the two inputs IMPLY operation, with the only difference that all the input devices are read in parallel.…”
Section: The Smart Materials Implication Logicmentioning
confidence: 99%
See 4 more Smart Citations
“…Also, the multi-input IMPLY operation was proposed in [30] to speed up computations, and its feasibility up to four inputs operations was demonstrated by means of circuit simulations on SIMPLY-based architectures in [31]. In the SIMPLY framework the multi-input IMPLY operation (hereafter called n-IMPLY, where n indicates the number of inputs) is executed in the same way as the two inputs IMPLY operation, with the only difference that all the input devices are read in parallel.…”
Section: The Smart Materials Implication Logicmentioning
confidence: 99%
“…These devices are used to store the inputs (i.e., IN 1 ,IN 2 , and C IN ), the outputs (i.e., S, C OUT ), and partial results (i.e., M 1 ,M 2 , and M 3 ) of the operation. To compute the result of the 1-bit addition, the 15 computing steps of n-IMPLY and FALSE operations reported in Figure 6b need to be executed sequentially [31]. The circuit in Figure 6a was simulated in [31] using the compact model and considering a clock frequency of 500 MHz, to estimate its performance.…”
Section: Full Adder Implementation On a N-simply-based Architecturementioning
confidence: 99%
See 3 more Smart Citations