2024
DOI: 10.1117/1.jmm.23.1.011205
|View full text |Cite
|
Sign up to set email alerts
|

Multi-beam mask writing opens up new fields of application, including curvilinear mask pattern for high numerical aperture extreme ultraviolet lithography

Mathias Tomandl,
Christoph Spengler,
Peter Hudek
et al.

Abstract: Multi-beam mask writers (MBMWs) from IMS Nanofabrication disrupted the mask writing technology in the past decade by offering this technology to the industry with a range of benefits over the preceding variable shaped beam technology. The MBMW-101 enabled write times independent of the pattern complexity, usage of low sensitivity resists at high throughput, and superior resolution and critical dimension uniformity (CDU) capabilities. With these benefits, the technology enabled high volume extreme ultraviolet (… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 12 publications
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?