2017
DOI: 10.14569/ijacsa.2017.080762
|View full text |Cite
|
Sign up to set email alerts
|

Mobility based Net Ordering for Simultaneous Escape Routing

Abstract: Abstract-With the advancement in electronics technology, number of pins under the ball grid array (BGA) are increasing on reduced size components. In small size components, a challenging task is to solve the escape routing problem where BGA pins escape towards the component boundary. It is often desirable to perform ordered simultaneous escape routing (SER) to facilitate area routing and produce elegant Printed Circuit Board (PCB) design. Some heuristic techniques help in finding the PCB routing solution for S… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
2
2

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(1 citation statement)
references
References 24 publications
0
1
0
Order By: Relevance
“…Ma et al [8] applied Negotiated Congestion [9] which was widely used in FPGA and IC to solve this problem. Works in [10][11][12] divided SER problem into two steps: net sort and escape. The result of escape will be constrained with net sort.…”
Section: Related Workmentioning
confidence: 99%
“…Ma et al [8] applied Negotiated Congestion [9] which was widely used in FPGA and IC to solve this problem. Works in [10][11][12] divided SER problem into two steps: net sort and escape. The result of escape will be constrained with net sort.…”
Section: Related Workmentioning
confidence: 99%