2023
DOI: 10.3390/app14010056
|View full text |Cite
|
Sign up to set email alerts
|

Mapping of Deep Neural Network Accelerators on Wireless Multistage Interconnection NoCs

Yassine Aydi,
Sirine Mnejja,
Faraqid Q. Mohammed
et al.

Abstract: In the last few decades, the concept of Wireless Network-on-chip (WiNoC) has emerged as a promising alternative for Multiprocessor Systems on Chip (MPSOC) to achieve reliable and scalable communication. Worth recalling in this regard is that our research team has already designed, verified and evaluated Multistage Interconnection Networks (MIN) in this field. With respect to the present work, we consider proceeding with further exploring our thoughts on this research area. Firstly, we propose the design and pe… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Publication Types

Select...

Relationship

0
0

Authors

Journals

citations
Cited by 0 publications
references
References 62 publications
0
0
0
Order By: Relevance

No citations

Set email alert for when this publication receives citations?