Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Syste 2018
DOI: 10.1145/3173162.3173203
|View full text |Cite
|
Sign up to set email alerts
|

Making Huge Pages Actually Useful

Abstract: The virtual-to-physical address translation overhead, a major performance bottleneck for modern workloads, can be effectively alleviated with huge pages. However, since huge pages must be mapped contiguously, OSs have not been able to use them well because of the memory fragmentation problem despite hardware support for huge pages being available for nearly two decades.This paper presents a comprehensive study of the interaction of fragmentation with huge pages in the Linux kernel. We observe that when huge pa… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
20
0

Year Published

2020
2020
2023
2023

Publication Types

Select...
7
2

Relationship

1
8

Authors

Journals

citations
Cited by 58 publications
(21 citation statements)
references
References 33 publications
(23 reference statements)
0
20
0
Order By: Relevance
“…In summary, page-table entries are likely to be present in the sockets processor cache. Memory Fragmentation: Physical memory fragmentation limits the availability of large pages as the system ages, leading to higher page-walk overheads [51,56]. Figure 11 shows the performance of Mitosis under heavy fragmentation while using THP in Linux with 2MB page size.…”
Section: Workload Migration Scenariomentioning
confidence: 99%
See 1 more Smart Citation
“…In summary, page-table entries are likely to be present in the sockets processor cache. Memory Fragmentation: Physical memory fragmentation limits the availability of large pages as the system ages, leading to higher page-walk overheads [51,56]. Figure 11 shows the performance of Mitosis under heavy fragmentation while using THP in Linux with 2MB page size.…”
Section: Workload Migration Scenariomentioning
confidence: 99%
“…Existing NUMA policies in commodity OSes migrates data pages to the target socket where the workload has been migrated. Unfortunately, page-table migration is not supported [56], making future TLB misses expensive. Such misplacement of page-tables leads to performance degradation for the workload since 100% of TLB misses require remote memory access as shown in top right table of Figure 1 for one workload (GUPS) from workload migration scenario.…”
Section: Introductionmentioning
confidence: 99%
“…8.2.1 Memory Fragmentation . Memory fragmentation at the application level and at the system level has been extensively studied in prior literature [7,15,18,19,34,40,42,45,49,55,61,65,66,[66][67][68][69]73]. However, we are aware of little work addressing the effects of fragmentation in hugememory workloads.…”
Section: Explorationmentioning
confidence: 99%
“…To reduce TLB misses, recent studies have proposed to optimize TLB organizations by clustering, coalescing, contiguity [14, 18, 21, 42, 54-56, 64, 72], prefetching [15,41,63], speculative TLBs [9], and large part-of-memory TLBs [47,62]. To increase TLB reach, support for huge pages has been extensively studied [21, 26, 27, 29, 43, 49, 51-53, 57, 60, 67, 69], with OS-level improvements [26,43,51,52]. Other works propose direct segments [10,25] and devirtualized memory [31], and suggest that applications manage virtual memory [2].…”
Section: Other Related Workmentioning
confidence: 99%