The platform will undergo maintenance on Sep 14 at about 7:45 AM EST and will be unavailable for approximately 2 hours.
2008
DOI: 10.1109/tc.2007.70794
|View full text |Cite
|
Sign up to set email alerts
|

Low-Transition Test Pattern Generation for BIST-Based Applications

Abstract: Abstract-A low-transition test pattern generator, called the low-transition linear feedback shift register (LT-LFSR), is proposed to reduce the average and peak power of a circuit during test by reducing the transitions among patterns. Transitions are reduced in two dimensions: 1) between consecutive patterns (fed to a combinational only circuit) and 2) between consecutive bits (sent to a scan chain in a sequential circuit). LT-LFSR is independent of circuit under test and flexible to be used in both BIST and … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

1
83
0

Year Published

2010
2010
2024
2024

Publication Types

Select...
5
3

Relationship

0
8

Authors

Journals

citations
Cited by 61 publications
(84 citation statements)
references
References 27 publications
1
83
0
Order By: Relevance
“…The GLFSR (Pradhan and Gupta, 1991) structure is modified into it automatically inserts three intermediate patterns between its original pairs genearated. The intermediate patterns are carefully chosen using bipartite and bit insertion techniques (Nourani et al, 2008) and impose minimal time to achieve desired fault coverage. Insertion of Intermediate pattern is achieved based on non overlapping clock scheme (Girard et al, 2001).…”
Section: Proposed Workmentioning
confidence: 99%
See 1 more Smart Citation
“…The GLFSR (Pradhan and Gupta, 1991) structure is modified into it automatically inserts three intermediate patterns between its original pairs genearated. The intermediate patterns are carefully chosen using bipartite and bit insertion techniques (Nourani et al, 2008) and impose minimal time to achieve desired fault coverage. Insertion of Intermediate pattern is achieved based on non overlapping clock scheme (Girard et al, 2001).…”
Section: Proposed Workmentioning
confidence: 99%
“…EGLFSR is an enhanced GLFSR, using more XOR gate in a test pattern generator which achieves a better performance. Nourani et al (2008) It has more transition in between each bits of the pattern generated and (Sakthivel and Kumar, 2011) an adjacent bits of test patterns generated by LT-GLFSR is swapped by using multiplexer is called as bit swapping low transition generalized linear feedback shift register.In this method, generated patterns has greater degree of randomness and high corelation between consecutive patterns but it has slightly high transitions in sequence of patterns generated. Generally, power consumption is with respect to number of transition between cosecutive patterns, if transition is more, power consumption is more in test pattern generator and CUT.…”
Section: Introductionmentioning
confidence: 99%
“…This can cause excessive power dissipation. Studies show that the extra average or peak power consumption can create such problem as instantaneous power surge [1]. That may cause circuit damage, and reduces product yield and lifetime.…”
Section: Introductionmentioning
confidence: 99%
“…There are many hardware approaches to reduce test power. Examples are LT-LFSR [1], the modified LFSR structure [2], DS-LFSR [3], LT-RTPG [4] and the two-vectorinhibiting TPG [5].…”
Section: Introductionmentioning
confidence: 99%
“…This can cause excessive power dissipation, and may cause circuit damage and difficulty in performance verification. More advanced techniques have been widely studied and applied for testper-scan BIST scheme [2]. However, there are several approaches for testper-clock BIST applications.…”
Section: Introductionmentioning
confidence: 99%