2015
DOI: 10.1016/j.vlsi.2014.07.006
|View full text |Cite
|
Sign up to set email alerts
|

Length-constrained escape routing of differential pairs

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2

Citation Types

0
2
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
4
1

Relationship

0
5

Authors

Journals

citations
Cited by 5 publications
(2 citation statements)
references
References 9 publications
0
2
0
Order By: Relevance
“…Many studies in the literature have proposed solutions for escape routing problem among which some have considered single layer (Lei & Mak, 2015) in the PCB while, multiple layers (Bayless, Hoos & Hu, 2016, Zhang et al, 2015a have also been considered. There are studies that only consider escape routing (McDaniel, Grissom & Brisk, 2014) while, there are some studies which consider other problems like length matching (Zhang et al, 2015b, Yan, 2015, Chang, Wen & Chang, 2019 along with the escape routing as well. Some have used staggered pin array (Ho, Lee & Chang, 2013; while others have used grid pin array (Jiao & Dong, 2016) as well.…”
Section: Related Workmentioning
confidence: 99%
“…Many studies in the literature have proposed solutions for escape routing problem among which some have considered single layer (Lei & Mak, 2015) in the PCB while, multiple layers (Bayless, Hoos & Hu, 2016, Zhang et al, 2015a have also been considered. There are studies that only consider escape routing (McDaniel, Grissom & Brisk, 2014) while, there are some studies which consider other problems like length matching (Zhang et al, 2015b, Yan, 2015, Chang, Wen & Chang, 2019 along with the escape routing as well. Some have used staggered pin array (Ho, Lee & Chang, 2013; while others have used grid pin array (Jiao & Dong, 2016) as well.…”
Section: Related Workmentioning
confidence: 99%
“…Escape routing can be divided into three types [18]: (i) Unordered escape routing where pins of a single component are routed to the component boundary without considering any specific escape order of the pins [19][20][21][22][23]; (ii) Ordered escape routing where pins of one component are escaped in a pre-defined order. Bus escape, also referred as maximum disjoint subset problem [24] is another example of this type of routing; and (iii) Simultaneous escape routing where pins of two components are escaped simultaneously, ensuring that the nets of both components are escaped in same order.…”
Section: Related Workmentioning
confidence: 99%