2018
DOI: 10.1109/tc.2018.2801298
|View full text |Cite
|
Sign up to set email alerts
|

LEAD: An Adaptive 3D-NoC Routing Algorithm with Queuing-theory Based Analytical Verification

Abstract: 2D-NoCs have been the mainstream approach used to interconnect multi-core systems. 3D-NoCs have emerged to compensate for deficiencies of 2D-NoCs such as long latency and power overhead. A low-latency routing algorithm for 3D-NoC is designed to accommodate high-speed communication between cores. Both simulation and analytical models are applied to estimate the communication latency of NoCs. Generally, simulations are time-consuming and slow down the design process. Analytical models provide, within a fraction … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
6
2
1

Relationship

0
9

Authors

Journals

citations
Cited by 16 publications
(6 citation statements)
references
References 41 publications
(49 reference statements)
0
6
0
Order By: Relevance
“…Moreover, as the elevatorless routers cannot directly send packets to other layers, an elevator is selected for each packet to facilitate the inter-layer communication. Leveraging such a principle, several routing algorithms have been proposed for PC-3DNoCs [3], [14]. However, they follow an elevator-selection policy that ignores elevators' load distribution and the minimal path.…”
Section: Background and Related Workmentioning
confidence: 99%
“…Moreover, as the elevatorless routers cannot directly send packets to other layers, an elevator is selected for each packet to facilitate the inter-layer communication. Leveraging such a principle, several routing algorithms have been proposed for PC-3DNoCs [3], [14]. However, they follow an elevator-selection policy that ignores elevators' load distribution and the minimal path.…”
Section: Background and Related Workmentioning
confidence: 99%
“…LEAD [37] takes into account the vertical partial connectivity in 3D NoCs. The number of VCs of this algorithm is the same as elevator-first along different dimensions, and divides the network into five disjoint subnetworks virtually.…”
Section: D Mesh Routing Algorithmmentioning
confidence: 99%
“…NoC also enhances performance in the form of throughput, latency and scalability compared to traditional interconnection architectures. However, thermal aggravation [2], transient and permanent defects [3], aging [4], high power density [5], and congestion [6] are some of the variables that contribute to NoC performance deterioration.…”
Section: Introductionmentioning
confidence: 99%