2010 IEEE Computer Society Annual Symposium on VLSI 2010
DOI: 10.1109/isvlsi.2010.107
|View full text |Cite
|
Sign up to set email alerts
|

LE1: A Parameterizable VLIW Chip-Multiprocessor with Hardware PThreads Support

Abstract: We discuss LE1, a parameterized VLIW Chip Multiprocessor (CMP) adhering to the shared memory programmers model. LE1's novelty lies in its ability to perform dynamic thread-spawning through hardware support for PThread-like primitives in addition to its substantial architectural and microarchitectural parameterization. Dynamic (hardware) thread creation is very fast and removes the need for an executive/OS, presenting to the application programmer a 'bare-metal' multiprocessor, capable of exploiting all forms o… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
6
0

Year Published

2012
2012
2016
2016

Publication Types

Select...
4
1

Relationship

1
4

Authors

Journals

citations
Cited by 6 publications
(6 citation statements)
references
References 11 publications
(13 reference statements)
0
6
0
Order By: Relevance
“…2 and consists of a standard host processor (Leon3 for standard-cell technologies and the Xilinx Microblaze for FPGA targets) and a number of default peripherals. A key component of the flow is the multi-core VLIW engine [16,17] (Figs. 2b and 2c) which forms the first-level accelerator.…”
Section: System Synthesis and Exploration Phasementioning
confidence: 99%
“…2 and consists of a standard host processor (Leon3 for standard-cell technologies and the Xilinx Microblaze for FPGA targets) and a number of default peripherals. A key component of the flow is the multi-core VLIW engine [16,17] (Figs. 2b and 2c) which forms the first-level accelerator.…”
Section: System Synthesis and Exploration Phasementioning
confidence: 99%
“…The LE1 Tool Collection [12] [13] consists of several tools and scripts needed for the compilation of C code onto the highly parallel LE1 VLIW multi-core processor. The LE1 system tool chain consists of a research retargetable compiler [14] with a custom LE1 back-end target, a collection of compilation scripts, and the cycle-accurate simulation infrastructure for the LE1.…”
Section: Le1 Tool Collectionmentioning
confidence: 99%
“…In the ENOSYS framework, the LE1 soft core processor [12] [13] is responsible for servicing the parts of the UML diagram assigned with software stereotypes. The architecture of the LE1 has been designed in such a way to be highly parameterisable in both the programmer model (architectural parametrisation) it presents to the programmer as well as its internal organisation (micro-architecture customisation).…”
Section: A Le1 Configurationmentioning
confidence: 99%
“…This research is motivated by the ever-increasing adoption of the Single Instruction Multiple Thread (SIMT) processing paradigm (via OpenCL) for advanced FPGA design and this paper presents an automated compilation framework that enables parallel computation, through the execution of OpenCL kernels 2 on a configurable VLIW Chip Multiprocessor (CMP) [7][8]. The LE1 architecture (Section III-A) is both configurable and extensible and is designed for embedded DSP applications on FPGA and standard-cell silicon.…”
Section: Introductionmentioning
confidence: 99%