Proceedings of the 28th ACM International Conference on Supercomputing 2014
DOI: 10.1145/2597652.2597655
|View full text |Cite
|
Sign up to set email alerts
|

Last-level cache deduplication

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
19
0

Year Published

2016
2016
2024
2024

Publication Types

Select...
4
3

Relationship

0
7

Authors

Journals

citations
Cited by 52 publications
(19 citation statements)
references
References 27 publications
0
19
0
Order By: Relevance
“…Due to these factors, some benchmarks can contain up to 98% of duplicated blocks [14]. Further, it has been shown that if each unique memory value is stored exactly once in the cache, compression ratios beyond 16X can be achieved [15].…”
Section: Opportunities For Compressionmentioning
confidence: 99%
See 3 more Smart Citations
“…Due to these factors, some benchmarks can contain up to 98% of duplicated blocks [14]. Further, it has been shown that if each unique memory value is stored exactly once in the cache, compression ratios beyond 16X can be achieved [15].…”
Section: Opportunities For Compressionmentioning
confidence: 99%
“…[14]) remove redundant values across different blocks of the whole cache, while some techniques (e.g. [29,35,82]) exploit redundancy across different words of a cache block and some other techniques (e.g.…”
Section: Compression Algorithmsmentioning
confidence: 99%
See 2 more Smart Citations
“…On the other hand, there have been an effort deduplicating cache blocks which have the same data in HW [19]. This results in increasing the capacity of caches.…”
Section: Related Workmentioning
confidence: 99%