2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) 2020
DOI: 10.1109/micro50266.2020.00061
|View full text |Cite
|
Sign up to set email alerts
|

Jumanji: The Case for Dynamic NUCA in the Datacenter

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1

Citation Types

0
1
0

Year Published

2021
2021
2023
2023

Publication Types

Select...
5

Relationship

0
5

Authors

Journals

citations
Cited by 7 publications
(1 citation statement)
references
References 77 publications
0
1
0
Order By: Relevance
“…Jenga [91] extends Jigsaw to manage DRAM cache banks and to consider memory bandwidth in the algorithm. Jumanji [83] extends Jigsaw in the context of data centers, improving tail latency by reserving enough cache space for latency-critical applications to meet their deadlines, and reinforcing security by placing data from untrusted applications in different LLC banks. The main limitation of OS-based approaches is that they suffer from inaccurate classification of shared and private pages.…”
Section: B Software-managed Nuca Cachesmentioning
confidence: 99%
“…Jenga [91] extends Jigsaw to manage DRAM cache banks and to consider memory bandwidth in the algorithm. Jumanji [83] extends Jigsaw in the context of data centers, improving tail latency by reserving enough cache space for latency-critical applications to meet their deadlines, and reinforcing security by placing data from untrusted applications in different LLC banks. The main limitation of OS-based approaches is that they suffer from inaccurate classification of shared and private pages.…”
Section: B Software-managed Nuca Cachesmentioning
confidence: 99%