Our system is currently under heavy load due to increased usage. We're actively working on upgrades to improve performance. Thank you for your patience.
Proceedings of the 2018 International Symposium on Physical Design 2018
DOI: 10.1145/3177540.3177562
|View full text |Cite
|
Sign up to set email alerts
|

ISPD 2018 Initial Detailed Routing Contest and Benchmarks

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
12
0

Year Published

2019
2019
2024
2024

Publication Types

Select...
5
1
1

Relationship

0
7

Authors

Journals

citations
Cited by 44 publications
(17 citation statements)
references
References 15 publications
0
12
0
Order By: Relevance
“…To compare the five procedures, the results have been computed for ISPD 2018 [14] benchmarks. These results are presented in Table I.…”
Section: Resultsmentioning
confidence: 99%
See 1 more Smart Citation
“…To compare the five procedures, the results have been computed for ISPD 2018 [14] benchmarks. These results are presented in Table I.…”
Section: Resultsmentioning
confidence: 99%
“…3. We validate our techniques the 45 and 32 nanometer designs from the ISPD18 testcase suite [14] and how that we can find access points for more than 99% of the pins in most of the testcases in less than 250 seconds with our more robust technique.…”
Section: Introductionmentioning
confidence: 88%
“…Moreover, it requires high computational speed to which machine learning and neural networks give an excellent assistance. But, there are few issues faced in automating the SoC designs such as the presence of target specific macros, i.e., hard macros, complex connection between different functional blocks and timing constraints associated with blocks or cells for proper functionality of entire chip [17]. Floor planning is the foremost step in physical design flow where most of issues can be dissolved and can be prevented from reoccurring at the later stages where the cost of testing and Fig.…”
Section: Machine Learning In Physical Design Floor Planningmentioning
confidence: 99%
“…Floor planning is the foremost step in physical design flow where most of issues can be dissolved and can be prevented from reoccurring at the later stages where the cost of testing and Fig. 6 Different styles of macro placement [17] removing bugs would be more. This step determines the position of the preplaced and logic cells on the core of the chip, and further, it is followed by the routing and connections of those cells.…”
Section: Machine Learning In Physical Design Floor Planningmentioning
confidence: 99%
“…[7] Details the importance of interconnect optimization and how its optimization is playing a pillar role in chip performance. Also, [8,9] Present more routing closure challenges.…”
Section: Introductionmentioning
confidence: 99%