2018
DOI: 10.1021/acsami.8b12767
|View full text |Cite
|
Sign up to set email alerts
|

Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma

Abstract: Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE pro… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1

Citation Types

0
25
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
6
2

Relationship

2
6

Authors

Journals

citations
Cited by 35 publications
(36 citation statements)
references
References 46 publications
(125 reference statements)
0
25
0
Order By: Relevance
“…6 Thermal ALE relies on temperature and thermochemically favourable reactions to remove surface species. 10 While there have been many examples of thermal ALE of a range of materials, including: HfO 2 , 4,9,11,12 ZrO 2 , 4,12 SiO 2 , 13 , Al 2 O 3 , 12,[14][15][16][17][18] AlN, 19 AlF 3 , 20 TiO 2 , 21 TiN, 22,23 W, 24,25 WO 3 , 25 ZnO 26 and GaN 27 and for other ALE techniques including Ar neutral beam ZrO 2 , 28 plasma ALE SiO 2 , 29,30 ZnO, 31 GaN 32,33 and ALE of Si 3 N 4 34 using infrared annealing, the details of the mechanism of the ALE process still require significant work to understand. The first step in ALE is the formation of a reactive but non-volatile layer on the initial film, which is followed by a material removal step to take off only the modified layer as indicated schematically in Figure 1.…”
Section: Introductionmentioning
confidence: 99%
“…6 Thermal ALE relies on temperature and thermochemically favourable reactions to remove surface species. 10 While there have been many examples of thermal ALE of a range of materials, including: HfO 2 , 4,9,11,12 ZrO 2 , 4,12 SiO 2 , 13 , Al 2 O 3 , 12,[14][15][16][17][18] AlN, 19 AlF 3 , 20 TiO 2 , 21 TiN, 22,23 W, 24,25 WO 3 , 25 ZnO 26 and GaN 27 and for other ALE techniques including Ar neutral beam ZrO 2 , 28 plasma ALE SiO 2 , 29,30 ZnO, 31 GaN 32,33 and ALE of Si 3 N 4 34 using infrared annealing, the details of the mechanism of the ALE process still require significant work to understand. The first step in ALE is the formation of a reactive but non-volatile layer on the initial film, which is followed by a material removal step to take off only the modified layer as indicated schematically in Figure 1.…”
Section: Introductionmentioning
confidence: 99%
“…In order to meet the miniaturization demands of the microelectronics industry, there has been a longstanding interest in developing techniques that push the physical limits of patterning. Top‐down approaches, such as photolithography and imprint lithography, have primarily enabled access to sub‐10 nm feature sizes in the past decades by leveraging advances in engineering and processing . However, bottom‐up approaches have gained attention because sub‐10 nm feature sizes may be more readily generated routinely.…”
Section: Introductionmentioning
confidence: 99%
“…Hacac has been reported to be a suitable reactant for ALE of Al 2 O 3 at 250°C. 36 In our process, repeated Hacac and H 2 plasma exposures were found to etch the Al 2 O 3 slightly (with 0.0036 ± 0.0002 nm/cycle, see Fig. S2 in the supplementary material 46 ), most likely through the formation of Al(acac) 3 .…”
Section: Resultsmentioning
confidence: 69%