2007
DOI: 10.1117/12.754568
|View full text |Cite
|
Sign up to set email alerts
|

Inverse lithography technology (ILT): keep the balance between SRAF and MRC at 45 and 32 nm

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
19
0

Year Published

2010
2010
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 23 publications
(19 citation statements)
references
References 14 publications
0
19
0
Order By: Relevance
“…ILT model based SRAF has demonstrated its significantly better performance in process window (PW) over conventional OPC approaches [2,4,5] . With increased performance with SRAF insertion, the mask write time is increased accordingly.…”
Section: Local CD Aware Selective Srafmentioning
confidence: 99%
See 1 more Smart Citation
“…ILT model based SRAF has demonstrated its significantly better performance in process window (PW) over conventional OPC approaches [2,4,5] . With increased performance with SRAF insertion, the mask write time is increased accordingly.…”
Section: Local CD Aware Selective Srafmentioning
confidence: 99%
“…It enables computation of optimum mask patterns to minimize deviations of images from their targets not only at nominal but also over a range of process variations, such as dose, defocus, and mask CD errors. Masks computed through the use of ILT are known to provide significantly better lithographic performance than conventional rule-based and even model-based OPC [3][4][5][6] .…”
Section: Introductionmentioning
confidence: 99%
“…6,7 To put ILT into practice, various methods have been proposed by academic as well as industrial communities on mask manufacturing rule constraints, 8,9 pattern grouping strategy to accelerate computing, 10 GPU-based hardware accelerated techniques, 11 and mathematical solution methods for the inverse problem. 4,5 In this paper, we focus on improvement of mathematical solution methods, where the computational efficiency is one of the most noteworthy issues. Currently, as critical dimension (CD) shrinks, the pattern density of integrated circuits gets much denser and lithographic process variations, such as lens-wafer defocus and exposure dose variation, become more pronounced.…”
Section: Introductionmentioning
confidence: 99%
“…[1][2][3] Inverse lithography technology (ILT), 4,5 as an active approach of RETs, is considered as an effective and economically viable way to meet various challenges in current and future technology nodes. 6,7 To put ILT into practice, various methods have been proposed by academic as well as industrial communities on mask manufacturing rule constraints, 8,9 pattern grouping strategy to accelerate computing, 10 GPU-based hardware accelerated techniques, 11 and mathematical solution methods for the inverse problem.…”
Section: Introductionmentioning
confidence: 99%
“…Various computation techniques have been proposed to deal with this inverse problem in the literature, such as the level-set method, [5][6][7][8] the discrete cosine transform (DCT)-based method, 9 and the gradient-based method. [10][11][12][13][14][15] The level-set method treats a mask as a sophisticated continuum, [5][6][7][8] and consequently, the boundary of the mask is iteratively evolved according to an optimization algorithm. The DCT-based method transforms a mask to the frequency space using a two-dimensional DCT.…”
Section: Introductionmentioning
confidence: 99%