2023 24th International Symposium on Quality Electronic Design (ISQED) 2023
DOI: 10.1109/isqed57927.2023.10129391
|View full text |Cite
|
Sign up to set email alerts
|

Intrinsic Parameter Fluctuation and Process Variation Effect of Vertically Stacked Silicon Nanosheet Complementary Field-Effect Transistors

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2

Citation Types

0
4
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
3
1

Relationship

2
2

Authors

Journals

citations
Cited by 4 publications
(4 citation statements)
references
References 28 publications
0
4
0
Order By: Relevance
“…Our mobility models are comprehensive and encompass several crucial components [23]. These models include the Philips unified model, high-field saturation model, thin layer model, and Lombardi high-k mobility model, which address phonon and Coulomb scatterings at the channel/insulator interface [19], [58]. To incorporate quasi-ballistic effects, we have integrated the low-field ballistic mobility model into our simulations.…”
Section: Overview Of Device and Variability Modelingmentioning
confidence: 99%
See 1 more Smart Citation
“…Our mobility models are comprehensive and encompass several crucial components [23]. These models include the Philips unified model, high-field saturation model, thin layer model, and Lombardi high-k mobility model, which address phonon and Coulomb scatterings at the channel/insulator interface [19], [58]. To incorporate quasi-ballistic effects, we have integrated the low-field ballistic mobility model into our simulations.…”
Section: Overview Of Device and Variability Modelingmentioning
confidence: 99%
“…NSFET devices can have different sources of device variability. For this study, we considered the channel length (L), nanosheet thickness (TNS), and nanosheet width (WNS) that have a significant effect on the electrical characteristics of the devices [58]. The device parameters and their mean and standard deviation are L is 16 and ±3, W is 25 and ±3, and T is 5 and ±2.…”
Section: Overview Of Device and Variability Modelingmentioning
confidence: 99%
“…Hence, it is imperative to acknowledge that process variations wield a substantial influence on the holistic operational performance of circuits employing these devices. On the other hand, process variation effect (PVE) and intrinsic parameter fluctuation (IPF) consists of work function fluctuation (WKF), random dopant fluctuation (RDF), and interface trap fluctuation (ITF), are crucial in design and fabrication of CMOS devices [6]- [25]; in particular, for emerging CFET devices [26]- [28]. For examples, [7]- [9], [9]- [14], [14]- [19], and [13], [14], [20]- [25], were reported for planar MOSFETs, FinFETs, GAA nanowire MOSFETs, and GAA NS MOSFETs, respectively.…”
Section: Introductionmentioning
confidence: 99%
“…These variations serve as a formidable barrier to the continued scaling of technology nodes, exerting their influence on critical aspects including SCE, timings, and power consumption parameters. Two factors of PVE: line edge roughness and gate edge roughness were individually studied by Yang et al for CFETs [26], [28]; however, impacts of PVE on electrical characteristic and power fluctuations have not been well examined yet for CFET devices and circuits. Thus, it will be an interesting study for us to statistically explore device characteristics and power fluctuations of CFETs induced by various factors of PVE.…”
Section: Introductionmentioning
confidence: 99%