2013
DOI: 10.1016/j.apsusc.2013.04.129
|View full text |Cite
|
Sign up to set email alerts
|

Initial reaction of silicon precursors with a varying number of dimethylamino ligands on a hydroxyl-terminated silicon (001) surface

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

3
18
0

Year Published

2015
2015
2023
2023

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 24 publications
(21 citation statements)
references
References 20 publications
3
18
0
Order By: Relevance
“…Adsorption energetics are computed for the series of silane molecules with zero to four dimethylamino ligands [silane, dimethylaminosilane (DMAS), BDMAS, TDMAS and tetrakis -dimethylaminosilane(TeDMAS)] on OH-terminated Si (001). [ 10 ] While the variation in physisorption energy is modest across the series, the activation energy towards chemisorption and ligand loss is seen to depend parabolically on the number of amine ligands, with the smallest barrier for BDMAS and highest barrier for silane and TeDMAS. This correlates with the lack of viable processes for silane and TeDMAS.…”
Section: Progress Reportmentioning
confidence: 96%
See 1 more Smart Citation
“…Adsorption energetics are computed for the series of silane molecules with zero to four dimethylamino ligands [silane, dimethylaminosilane (DMAS), BDMAS, TDMAS and tetrakis -dimethylaminosilane(TeDMAS)] on OH-terminated Si (001). [ 10 ] While the variation in physisorption energy is modest across the series, the activation energy towards chemisorption and ligand loss is seen to depend parabolically on the number of amine ligands, with the smallest barrier for BDMAS and highest barrier for silane and TeDMAS. This correlates with the lack of viable processes for silane and TeDMAS.…”
Section: Progress Reportmentioning
confidence: 96%
“…Surfaces may be modeled using either periodic slabs or fi nite clusters. Empirical corrections of the van der Waals interactions are included in some studies, [9][10][11] which is discussed further in section 6.2 .…”
Section: Progress Reportmentioning
confidence: 99%
“… 10 , 35 , 39 , 41 , 43 In particular, for the family of methylaminosilanes, where the alkyl group R is a methyl (dimethylaminosilane (DMAS), BDMAS, tris(dimethylamino)silane (TDMAS), and tetrakis(dimethylamino)silane (TKDMAS)), the theoretical work conducted by Jeong et al has demonstrated that the Si–N is the weakest bond present in the precursor molecules and, therefore, it is the easiest to break in reactions with −OH surface groups during to precursor adsorption. 26 All of these studies indicate that, independently of the number of amine ligands, precursor adsorption for aminosilanes is dominated by the breaking of the Si–N bond.…”
Section: Concise Overview On the Ald Reaction Mechanism Of Sio 2 Using Aminosilane Precursorsmentioning
confidence: 99%
“…38 , 44 For completeness, it should be mentioned that tetrakis-aminosilane precursors like TKDMAS are found less suitable for ALD growth of SiO 2 due to severe steric hindrance caused by the large amine ligands. 26 , 42 …”
Section: Concise Overview On the Ald Reaction Mechanism Of Sio 2 Using Aminosilane Precursorsmentioning
confidence: 99%
“…For example, the understanding of SiO 2 ALD mechanisms has proceeded through a number of computational studies employing DFT [16]. The reactivities have been investigated for a number of aminosilane precursors [17,18,19,20,21,22,23], which display low activation energies for reactions with surface hydroxyl groups. Despite the progress in the applications and development of electronic structure methods, their computational cost is still too high to reliably simulate the overall ALD growth [13,15].…”
Section: Introductionmentioning
confidence: 99%