Optical Microlithography XXI 2008
DOI: 10.1117/12.776776
|View full text |Cite
|
Sign up to set email alerts
|

Influence of shot noise on CDU with DUV, EUV, and E-beam

Abstract: A theoretical analysis to estimate the effect of shot noise on CDU is induced from optical imaging perspectives combined with quantum theory, and is studied for 193-nm, EUV, and electron beam lithography. We found the CDU variation from shot noise is related to the number of particles absorbed in the printed area and to the image log slope (ILS). Hence, the CDU variation contributed by shot noise gets worse when the technology node advances from 45-to 32-, 22-, and 15-nm, EUV with higher ILS is no exception. F… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
10
0

Year Published

2013
2013
2022
2022

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 11 publications
(10 citation statements)
references
References 6 publications
0
10
0
Order By: Relevance
“…From the perspective of quantum physics, the amount of exposure dose in photo resist is the accumulation of discrete photons. If the number of photons decreases, the CD variation range caused by the natural statistical fluctuation becomes more severe [16]. As PSM transfers more informative photons onto wafer than BIM as shown in Figure 4, PSM has a potential to have improved local CDU compared to BIM.…”
Section: Uniformity (Cdu)mentioning
confidence: 98%
“…From the perspective of quantum physics, the amount of exposure dose in photo resist is the accumulation of discrete photons. If the number of photons decreases, the CD variation range caused by the natural statistical fluctuation becomes more severe [16]. As PSM transfers more informative photons onto wafer than BIM as shown in Figure 4, PSM has a potential to have improved local CDU compared to BIM.…”
Section: Uniformity (Cdu)mentioning
confidence: 98%
“…As device dimensions continue to shrink with EUV lithography, stochastic noise is getting more and more serious such that lots of works [7][8][9][10][11][12][13] about LCDU and/or LWR have been presented in order to model and/or mitigate their impact on device performance. The basis of many of the LWR/LCDU models [9,[11][12][13] is to translate the dose fluctuation to edge placement variation based on quantum statistics. However, different definitions of effective area element finally lead to model variants of different forms.…”
Section: Derivation Of Lcdu Model For Euv Contact Holesmentioning
confidence: 99%
“…Based on the threshold resist model, for a feature exposed at a given focal plane, the correlation between the exposure dose and the aerial image intensity threshold can be described by the following formula [9,11]:…”
Section: Derivation Of Lcdu Model For Euv Contact Holesmentioning
confidence: 99%
“…The performance of EUV photoresist is mainly characterized by three parameters: sensitivity, resolution, and line-edge roughness (LER). There is a tradeoff relationship among these parameters, which is mainly caused by the photon shot-noise effect and additionally aggravated by chemical stochastic issues, thus necessitating the development of ingenious strategies to overcome these limitations to achieve high-performance EUV [ 2 14 ].…”
Section: Introductionmentioning
confidence: 99%