2008
DOI: 10.1149/1.2908820
|View full text |Cite
|
Sign up to set email alerts
|

Influence of CMP Slurries and Post-CMP Cleaning Solutions on Cu Interconnects and TDDB Reliability

Abstract: We investigated Cu/low-k integration to test the time-dependent dielectric breakdown ͑TDDB͒ reliability of Cu interconnects. We described the relationship between TDDB lifetime and defects possibly caused by the Cu chemical-mechanical polishing ͑CMP͒ process, such as rough copper surface corrosion, crevice corrosion, and scratches, using Cu/silicon oxycarbide interconnects. Although rough copper surface corrosion has an insignificant effect on the TDDB lifetime, crevice corrosion at the edges of wires does cau… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
20
0

Year Published

2010
2010
2022
2022

Publication Types

Select...
4
3
2

Relationship

0
9

Authors

Journals

citations
Cited by 36 publications
(20 citation statements)
references
References 6 publications
(9 reference statements)
0
20
0
Order By: Relevance
“…However, in real Cu damascene interconnects, the integration performance strongly dominates TDDB results. The interface of Cu/capping layer, lineedge-roughness line-to-line overlay errors, and via-to-line misalignment are the dominated TDDB failure mechanisms [61][62][63][64][65].…”
Section: Reliability Of Porous Low-k Dielectric Materialsmentioning
confidence: 99%
“…However, in real Cu damascene interconnects, the integration performance strongly dominates TDDB results. The interface of Cu/capping layer, lineedge-roughness line-to-line overlay errors, and via-to-line misalignment are the dominated TDDB failure mechanisms [61][62][63][64][65].…”
Section: Reliability Of Porous Low-k Dielectric Materialsmentioning
confidence: 99%
“…To minimize Cu diffusion into the dielectric to avoid reliability degradation in TDDB, several process strategies have been proposed including using adequate metal barrier layers [167,168], minimizing residues after post-CMP cleaning [169], and minimizing air exposure prior to capping of the Cu [150,153]. Additionally, alternating polarity operation method instead of direct current stress could increase dielectric breakdown lifetime, resulting from recovery effect due to the backward migration of Cu ions during the reverse-bias stress [170,171].…”
Section: Time-dependent Dielectric Breakdown (Tddb)mentioning
confidence: 99%
“…Defects typically formed during the CMP process include organic residues [29], water marks [30], particle adherence and impingement [31], corrosion pit, and scratches [30,31]. However, the removal of organic residues and water mark formation are trivial in oxide CMP, but other types of defects, such as scratch formation, are critical, as they affect the yield and reliability of the devices [32]. Table 2 shows the CMP process induced defects and their specific effects on the replacement metal gate (RMG) process [33].…”
Section: Scratch Issues In Cmp Processmentioning
confidence: 99%