Optical Microlithography XXXII 2019
DOI: 10.1117/12.2516259
|View full text |Cite
|
Sign up to set email alerts
|

Improved wafer alignment model algorithm for better on-product overlay

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
2
2

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
(7 citation statements)
references
References 1 publication
0
7
0
Order By: Relevance
“…Higher order wafer alignment (HOWA) is usually used to accurately describe the shape and position of the wafer in the scanner. The HOWA model mainly contents the following three parts: (a) physical shape and position of the wafer; (b) model extrapolation error in regions without alignment marks; (c) alignment mark measurement errors 14 . However, in order to accurately correct the grid deviation during alignment, the contents of the model that are not related to (a), namely (b) and (c), should be removed, as they do not reflect the grid deviation (wafer deformation, WD) but are related to the mark deformation (MD) which may be caused by processes, or they will introduce the overlay error.…”
Section: Wafer Alignment Model Mapping Algorithmmentioning
confidence: 99%
See 1 more Smart Citation
“…Higher order wafer alignment (HOWA) is usually used to accurately describe the shape and position of the wafer in the scanner. The HOWA model mainly contents the following three parts: (a) physical shape and position of the wafer; (b) model extrapolation error in regions without alignment marks; (c) alignment mark measurement errors 14 . However, in order to accurately correct the grid deviation during alignment, the contents of the model that are not related to (a), namely (b) and (c), should be removed, as they do not reflect the grid deviation (wafer deformation, WD) but are related to the mark deformation (MD) which may be caused by processes, or they will introduce the overlay error.…”
Section: Wafer Alignment Model Mapping Algorithmmentioning
confidence: 99%
“…The optimal wavelength ratio is found by the optimal color weighting (OCW) algorithm, reducing the alignment error caused by the asymmetry of the alignment marks 13 . Fourth, by separating the physical shape and position of the wafer from alignment mark errors and model extrapolation errors, the wafer alignment model mapping (WAMM) algorithm can obviously improve the grid corrections and reduce the overlay variations in the manufacturing process 13,14 . Fifth, The choice of alignment marks can be determined by the sampling scheme optimization (SSO) algorithm which picks out a set of suitable alignment marks and allows for a good balance between the throughput and the alignment accuracy in high volume manufacturing (HVM) 15 .…”
Section: Introductionmentioning
confidence: 99%
“…Schmitt-Weaver et al [22] proposed an efficient highdensity wafer alignment metrology method by combining deep learning and wafer leveling metrology of photolithography equipment. Jeong et al [23] proposed an improved wafer alignment model algorithm for better product overlay. Lee [24] proposed a marker layout to optimize overlay alignment in photolithography.…”
Section: Semiconductor Mask Alignermentioning
confidence: 99%
“…Dozens of alignment marks are distributed on the entire wafer, and each alignment mark's position is measured by an alignment sensor to obtain wafer distortion. According to the wafer distortion, the exposure process parameters are adjusted to accurately transfer the circuit patterns from mask to wafer [12,13]. The overlay accuracy is generally 1/3 that of the process nodes, and the alignment accuracy is about 1/5~1/3 of the overlay accuracy.…”
Section: Introductionmentioning
confidence: 99%