2016 17th International Symposium on Quality Electronic Design (ISQED) 2016
DOI: 10.1109/isqed.2016.7479234
|View full text |Cite
|
Sign up to set email alerts
|

Impact of interconnect variability on circuit performance in advanced technology nodes

Abstract: Interconnects are one of the main bottlenecks to circuit performance, with increasing importance in advanced technology nodes. With increased sensitivity of circuit delay to interconnect parasitics, we study the impact of process variation on interconnects. Based on GDSII-level layouts, we accurately study sources of systematic variability and quantify their repercussions on circuit performance in the ITRS 11 nm technology node. Additionally, we compare three lithography schemes and study their impact on inter… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1

Citation Types

0
3
0

Year Published

2017
2017
2023
2023

Publication Types

Select...
5
2

Relationship

0
7

Authors

Journals

citations
Cited by 11 publications
(4 citation statements)
references
References 14 publications
(25 reference statements)
0
3
0
Order By: Relevance
“…IR drop come from the electrical potential difference between the two ends of an operating phase during current flow, signal delay mean the time required for a signal to propagate from one side to the other. These are major causes of performance degradation [28][29][30][31][32]. The scaling down of Si MOS-FET process has been accelerating, these days ultrafine 3 nm processes are being developed.…”
Section: Post-layout Simulationmentioning
confidence: 99%
“…IR drop come from the electrical potential difference between the two ends of an operating phase during current flow, signal delay mean the time required for a signal to propagate from one side to the other. These are major causes of performance degradation [28][29][30][31][32]. The scaling down of Si MOS-FET process has been accelerating, these days ultrafine 3 nm processes are being developed.…”
Section: Post-layout Simulationmentioning
confidence: 99%
“…This is due to the increased delay sensitivity to the interconnect parasitic [4]. Figure 1 illustrates the escalating interconnect Resistance-Capacitance (RC) delay with nodes scaling.…”
Section: Delay Sensitivity In Advanced Technology Nodesmentioning
confidence: 99%
“…Different advanced packaging technologies, such as chip stacking, wafer stacking, and through-silicon vias (TSVs), enable the heterogeneous integration of chips with different technology nodes in the same package. For heterogeneous integration, 3D ICs are considered one of the most promising technologies for the future, while traditional packaging technology is facing challenges such as long wiring, high power consumption, and large form factor [4,5]. In addition, 3D ICs can provide higher integration density, lower power consumption, and low RC delay [6,7].…”
Section: Introductionmentioning
confidence: 99%