Extreme Ultraviolet (EUV) Lithography XI 2020
DOI: 10.1117/12.2552014
|View full text |Cite
|
Sign up to set email alerts
|

Illumination control in lensless imaging for EUV mask inspection and review

Abstract: Coherence control and flexible pupil fill play a key role in the imaging of EUV reticles. This is also true for lensless metrology applications based on coherent diffraction imaging. We describe the concept and the key components of a Fourier synthesis illuminator designed to provide the RESCAN microscope with flexible illumination capabilities and to improve its resolution limit. In particular, we discuss the characteristics of the three mirrors of the new illuminator and the requirements for their multilayer… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
7
0

Year Published

2020
2020
2022
2022

Publication Types

Select...
5
1

Relationship

4
2

Authors

Journals

citations
Cited by 9 publications
(9 citation statements)
references
References 7 publications
0
7
0
Order By: Relevance
“…This helps to overcome the geometrical limit set by the illumination optics and the reflectance loss caused by the multilayer for diffraction angles larger than 12 degrees. 10 The opposite behavior is observed for a pattern with the inverse aspect ratio (Fig. 3B).…”
Section: Anamorphic Mask Inspectionmentioning
confidence: 84%
“…This helps to overcome the geometrical limit set by the illumination optics and the reflectance loss caused by the multilayer for diffraction angles larger than 12 degrees. 10 The opposite behavior is observed for a pattern with the inverse aspect ratio (Fig. 3B).…”
Section: Anamorphic Mask Inspectionmentioning
confidence: 84%
“…Furthermore, this implemented correction method removes the focal gradient, and thereby the reconstructed image FOV is enlarged, which allows for flexible post data processing. These improvements can also be applied for our plan to upgrade the RESCAN for high NA APMI toward below 5 nm EUVL node [10].…”
Section: Discussionmentioning
confidence: 99%
“…Also, throughpellicle imaging was demonstrated in RESCAN last year [9]. We currently plan an upgrade of the tool towards < 20 nm defect resolution to meet the 5 nm EUVL technology node and below [10].…”
Section: Introductionmentioning
confidence: 99%
“…Accurate imaging of the extreme ultraviolet (EUV) mask is essential in EUV lithography. At Paul Scherrer Institute (PSI), we are developing RESCAN (Reflection-mode EUV SCANning microscope), a ptychography-based microscope dedicated to actinic patterned mask inspection (APMI) [1][2][3][4]. It is a synchrotron-based platform installed at the XIL-II beamline of the Swiss Light Source (SLS).…”
Section: Introduction and Methodsmentioning
confidence: 99%