2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS) 2013
DOI: 10.1109/ispass.2013.6557150
|View full text |Cite
|
Sign up to set email alerts
|

How a single chip causes massive power bills GPUSimPow: A GPGPU power simulator

Abstract: Modern GPUs are true power houses in every meaning of the word: While they offer general-purpose (GPGPU) compute performance an order of magnitude higher than that of conventional CPUs, they have also been rapidly approaching the infamous "power wall", as a single chip sometimes consumes more than 300W. Thus, the design space of GPGPU microarchitecture has been extended by another dimension: power. While GPU researchers have previously relied on cycle-accurate simulators for estimating performance during desig… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

1
53
0

Year Published

2014
2014
2024
2024

Publication Types

Select...
3
3
1

Relationship

3
4

Authors

Journals

citations
Cited by 57 publications
(56 citation statements)
references
References 18 publications
1
53
0
Order By: Relevance
“…By using a Fermi architecture card the test code could be written using asfermi. To measure the energy consumption, a power measurement test-bed similar to the ones used in GPUSimPow [6] and GPUWattch [5] was used. The NVidia CUDA command line profiler was used to gather kernel start and end times.…”
Section: Experimental Methodologymentioning
confidence: 99%
See 3 more Smart Citations
“…By using a Fermi architecture card the test code could be written using asfermi. To measure the energy consumption, a power measurement test-bed similar to the ones used in GPUSimPow [6] and GPUWattch [5] was used. The NVidia CUDA command line profiler was used to gather kernel start and end times.…”
Section: Experimental Methodologymentioning
confidence: 99%
“…GPUWattch [5] and GPUSimPow [6] are power simulators for GPUs. Both simulators are based on gpgpu-sim and extend it with a McPAT-based GPU power model.…”
Section: Related Workmentioning
confidence: 99%
See 2 more Smart Citations
“…In contrast to this, we study power consumption at component level. The recent release of GPUSimPow [6] and GPUWattch [7], GPUs power estimation tools has enabled in-depth exploration of GPUs power consumption. Using metrics to understand workload characteristics is not new [8], [9], [10], [11], but none of them study the correlation between workload metrics and components power.…”
Section: Related Workmentioning
confidence: 99%