Optical Microlithography XXXIII 2020
DOI: 10.1117/12.2552938
|View full text |Cite
|
Sign up to set email alerts
|

Holistic alignment approach for on-product overlay improvement on DUV lithography process with combined solutions

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
6
0

Year Published

2022
2022
2024
2024

Publication Types

Select...
2
1

Relationship

0
3

Authors

Journals

citations
Cited by 3 publications
(6 citation statements)
references
References 0 publications
0
6
0
Order By: Relevance
“…Similarly, by substituting Equation ( 16) into Equation (11), with N groups of asymmetric alignment marks and the corresponding multi-channel alignment position error data and least square method, the matrix expression can be obtained.…”
Section: Theoretical Modelmentioning
confidence: 99%
See 2 more Smart Citations
“…Similarly, by substituting Equation ( 16) into Equation (11), with N groups of asymmetric alignment marks and the corresponding multi-channel alignment position error data and least square method, the matrix expression can be obtained.…”
Section: Theoretical Modelmentioning
confidence: 99%
“…On-product overlay control is guaranteed by controlling alignment and overlay metrology, typically through feedforward and feedback control loops in customer fab [11]. The continuous reduction of alignment error is the key to ensuring the overlay accuracy.…”
Section: Introductionmentioning
confidence: 99%
See 1 more Smart Citation
“…With the continuous tightening of the overlay budget, the alignment accuracy is constantly improved under the circumstances of collaborative and holistic optimization so as to meet the requirements of overlay 33 . Main improvements in the alignment system are introduced in this section.…”
Section: Improvements In Alignment Systemmentioning
confidence: 99%
“…To meet a tighter overlay specification with the continuous shrinkage of dimensions on semiconductor devices, advanced scanners` alignment-related options and improved overlay process control methods have been adopted by lithographers. For example, ASML scanners provide higher-order wafer alignment models, such as HOWA3 and radial basis function model (RBF), to reduce high-order wafer grid distortion, and optimal color weighting (OCW) to minimize the impact of alignment mark deformation and thus improve wafer-to-wafer variation [1]. Manufacturers also used feed-forward methods, trying to take the process correction values or optimal correction values from a previous layer to a current layer, to minimize lot-to-lot variation on the current layer [2].…”
Section: Introductionmentioning
confidence: 99%