Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays 2018
DOI: 10.1145/3174243.3174987
|View full text |Cite
|
Sign up to set email alerts
|

High-Throughput Lossless Compression on Tightly Coupled CPU-FPGA Platforms

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
20
0
2

Year Published

2019
2019
2020
2020

Publication Types

Select...
6
1

Relationship

0
7

Authors

Journals

citations
Cited by 20 publications
(22 citation statements)
references
References 0 publications
0
20
0
2
Order By: Relevance
“…The data transformation wrapped as transactions to be processed between master memory on the host and global memory beside the FPGA kernel typically occurs across a peripheral high-speed serial interface. The PCIe (peripheral component interconnect express) -based heterogeneous runtime interactions require data delivered efficiently by using the direct memory access (DMA) to reduce the occupancy in the resources of the CPU [39]. The interactions with the accelerator managed by the data flow framework are restricted by bandwidth limitation contributing to non-full-speed acceleration, even though a high throughput processing kernel is deployed on the FPGA side.…”
Section: Optimized Architecture Designmentioning
confidence: 99%
“…The data transformation wrapped as transactions to be processed between master memory on the host and global memory beside the FPGA kernel typically occurs across a peripheral high-speed serial interface. The PCIe (peripheral component interconnect express) -based heterogeneous runtime interactions require data delivered efficiently by using the direct memory access (DMA) to reduce the occupancy in the resources of the CPU [39]. The interactions with the accelerator managed by the data flow framework are restricted by bandwidth limitation contributing to non-full-speed acceleration, even though a high throughput processing kernel is deployed on the FPGA side.…”
Section: Optimized Architecture Designmentioning
confidence: 99%
“…The mainstream PCIe-based CPU-FPGA platforms use direct memory access (DMA) for an FPGA to access the data from a CPU. The FPGA typically needs a memory controller IP to read the data from the CPU's DRAM to its own DRAM through PCIe [30]. In fact, this communication is limited by restrict bandwidth in practice to make it impractical to implement full-speed acceleration even if we have a high throughput preprocessing accelerator on the FPGA side.…”
Section: Fpga-based Hardware Designmentioning
confidence: 99%
“…It extends MonetDB with user-defined functions in FPGAs, along with proposing a Centaur framework [97] that provides software APIs to bridge the gap between CPUs and FPGAs. Other research work studies the acceleration of different operators including compression [104], decompression [35], sort [146] and joins [49], etc.…”
Section: Co-processormentioning
confidence: 99%