2024
DOI: 10.1038/s41928-024-01126-y
|View full text |Cite
|
Sign up to set email alerts
|

High-performance, power-efficient three-dimensional system-in-package designs with universal chiplet interconnect express

Debendra Das Sharma,
Gerald Pasdast,
Sathya Tiagaraj
et al.

Abstract: Universal chiplet interconnect express (UCIe) is an open industry standard interconnect for a chiplet ecosystem in which chiplets from multiple suppliers can be packaged together. The UCIe 1.0 specification defines interoperability using standard and advanced packaging technologies with planar interconnects. Here we examine the development of UCIe as the bump interconnect pitches reduce with advances in packaging technologies for three-dimensional integration of chiplets. We report a die-to-die solution for th… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2024
2024
2024
2024

Publication Types

Select...
1
1

Relationship

0
2

Authors

Journals

citations
Cited by 2 publications
references
References 23 publications
0
0
0
Order By: Relevance