2006
DOI: 10.1007/s11227-006-6719-5
|View full text |Cite
|
Sign up to set email alerts
|

High-Level Modeling and FPGA Prototyping of Produced Order Parallel Queue Processor Core

Abstract: Emerging high-level hardware description and synthesis technologies in conjunction with field programmable gate arrays (FPGAs) have significantly lowered the threshold for hardware development. Opportunities exist to integrate these technologies into a tool for exploring and evaluating microarchitectural designs especially for newly proposed architectures. This paper presents a prototyping of a new processor core based on Queue architecture as starting point for application-specific processor design exploratio… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
14
0

Year Published

2006
2006
2017
2017

Publication Types

Select...
4
2

Relationship

2
4

Authors

Journals

citations
Cited by 25 publications
(14 citation statements)
references
References 14 publications
0
14
0
Order By: Relevance
“…Their experiments show that the execution of programs on their queue machine has the potential of exploiting high levels of parallelism, while keeping code size smaller than an RISC instruction set. In our previous work [1][2][3], we proposed a novel 32-bit QueueCore processor with a 16-bit instruction set format. Our approach is to allow variables to be produced only once, but to be used many times.…”
Section: Introductionmentioning
confidence: 99%
“…Their experiments show that the execution of programs on their queue machine has the potential of exploiting high levels of parallelism, while keeping code size smaller than an RISC instruction set. In our previous work [1][2][3], we proposed a novel 32-bit QueueCore processor with a 16-bit instruction set format. Our approach is to allow variables to be produced only once, but to be used many times.…”
Section: Introductionmentioning
confidence: 99%
“…We proposed a novel high performance parallel queue processor architecture based on produced order queue instruction set architecture [2,3,10]. The key ideas of the queue computing model are the operands and results manipulation schemes.…”
Section: Introductionmentioning
confidence: 99%
“…In this state, it considers the shared storage unit as a circular queueregister [3]. The QSP32 switches between execution models by using a special hardware, named dynamic execution mode switch mechanism [6], where also sources and destination addresses for both modes are calculated dynamically.…”
Section: Introductionmentioning
confidence: 99%
“…Algorithm 3. dup assignment (i) The length of the instruction set of the PQP is 2 byte [1]. The PQP has a special instruction, covop, which extends the value of the operand of the following instruction.…”
Section: Increase In Number Of Instructionsmentioning
confidence: 99%
“…In our previous work we have investigated and designed a Parallel Queue Processor (PQP) based on the Queue Computation Model [16,1,2]. The PQP breaks the rule of dequeueing to make a better utilization of the data in the operand queue.…”
Section: Introductionmentioning
confidence: 99%