2013
DOI: 10.1109/tcad.2012.2226032
|View full text |Cite
|
Sign up to set email alerts
|

GreenCool: An Energy-Efficient Liquid Cooling Design Technique for 3-D MPSoCs Via Channel Width Modulation

Abstract: Abstract-Liquid cooling using interlayer microchannels has appeared as a viable and scalable packaging technology for 3-D multiprocessor system-on-chips (MPSoCs). Microchannelbased liquid cooling, however, can substantially increase the onchip thermal gradients, which are undesirable for reliability, performance, and cooling efficiency. In this paper, we present GreenCool, an optimal design methodology for liquid-cooled 3-D MPSoCs. GreenCool simultaneously minimizes the cooling energy for a given system while … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
2

Citation Types

0
26
0

Year Published

2014
2014
2020
2020

Publication Types

Select...
3
3
1

Relationship

3
4

Authors

Journals

citations
Cited by 30 publications
(26 citation statements)
references
References 44 publications
0
26
0
Order By: Relevance
“…Our recent proposed framework, namely GREENCOOL, optimizes the active cooling path of microchannel-based iterlayer liquid cooled 3D MPSoCs to balance the thermal profile of the target 3D MPSoC while significantly reducing the active cooling energy demands [109]. This design-optimization methodology uses the concept of channel modulation, where we change the microchannel aspect ratio (channel width/channel height) to enhance the heat transfer capability from the target 3D MPSoC via changing the convective thermal resistance [110].…”
Section: Design-time Power and Thermal Optimizationsmentioning
confidence: 99%
See 2 more Smart Citations
“…Our recent proposed framework, namely GREENCOOL, optimizes the active cooling path of microchannel-based iterlayer liquid cooled 3D MPSoCs to balance the thermal profile of the target 3D MPSoC while significantly reducing the active cooling energy demands [109]. This design-optimization methodology uses the concept of channel modulation, where we change the microchannel aspect ratio (channel width/channel height) to enhance the heat transfer capability from the target 3D MPSoC via changing the convective thermal resistance [110].…”
Section: Design-time Power and Thermal Optimizationsmentioning
confidence: 99%
“…a With uniform non modulated channel width. b With modulated channel width to compensate for sensible heat absorption [109] where k coolant is the thermal conductivity of the coolant and d h is the hydraulic diameter of channel. The effective heat transfer coefficient as seen by the junction looking down the channel from the top can be written by projecting the heat transfer coefficient above from the side wall surfaces onto the top as follows:…”
Section: Design-time Power and Thermal Optimizationsmentioning
confidence: 99%
See 1 more Smart Citation
“…There have also been studies to optimize straight channels both at design-time employing methods such as modulating channel-widths [3] or by modifying heat conduction paths by judicious placement of TSVs [4]. There have also been methods proposed to address efficient run-time operation of liquid-cooled microchannels [5].…”
Section: Introductionmentioning
confidence: 99%
“…We present two state-of-the-art applications of performing robust design optimization using channel width modulation: Application 1 [12] that minimizes on-chip temperature gradients and Application 2 (GreenCool) [13] that minimizes pumping en- …”
Section: Introductionmentioning
confidence: 99%