Proceedings of the 20th Symposium on Great Lakes Symposium on VLSI 2010
DOI: 10.1145/1785481.1785499
|View full text |Cite
|
Sign up to set email alerts
|

Graph theoretic approach for scan cell reordering to minimize peak shift power

Abstract: Scan circuit testing generally causes excessive switching activity compared to normal circuit operation. This excessive switching activity causes high peak and average power consumption. Higher peak power causes, supply voltage droop and excessive heat dissipation. This paper proposes a scan cell reordering methodology to minimize the peak power consumption during scan shift operation. The proposed methodology first formulate the problem as graph theoretic problem then solve it by a linear time heuristic. The … Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...

Citation Types

0
0
0

Year Published

2014
2014
2016
2016

Publication Types

Select...
4

Relationship

0
4

Authors

Journals

citations
Cited by 4 publications
references
References 21 publications
(40 reference statements)
0
0
0
Order By: Relevance