Proceedings of the 16th ACM International Conference on Computing Frontiers 2019
DOI: 10.1145/3310273.3321558
|View full text |Cite
|
Sign up to set email alerts
|

Ghost loads

Abstract: Speculative execution is necessary for achieving high performance on modern general-purpose CPUs but, starting with Spectre and Meltdown, it has also been proven to cause severe security flaws. In case of a misspeculation, the architectural state is restored to assure functional correctness but a multitude of microarchitectural changes (e.g., cache updates), caused by the speculatively executed instructions, are commonly left in the system. These changes can be used to leak sensitive information, which has led… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
11
0

Year Published

2019
2019
2022
2022

Publication Types

Select...
6
2

Relationship

5
3

Authors

Journals

citations
Cited by 15 publications
(11 citation statements)
references
References 29 publications
0
11
0
Order By: Relevance
“…Our solution instead provides a holistic approach that eliminates the threat of speculative attacks that try to take advantage of the memory hierarchy as a side-channel. It builds on insights provided by our work on Ghost loads [46], which evaluates, in detail, the implications of trying to hide speculative loads in the cache hierarchy.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…Our solution instead provides a holistic approach that eliminates the threat of speculative attacks that try to take advantage of the memory hierarchy as a side-channel. It builds on insights provided by our work on Ghost loads [46], which evaluates, in detail, the implications of trying to hide speculative loads in the cache hierarchy.…”
Section: Related Workmentioning
confidence: 99%
“…While Yan et al provide an elegant solution to overlap the visible accesses of the loads [59], the simple fact that the accesses to the memory hierarchy are effectively doubled carries a non-trivial performance and energy cost [46]. The motivation of our work is to examine if a completely different approach to the same problem, one that still maintains the one-request-per-load execution model, can potentially yield better results.…”
Section: Introductionmentioning
confidence: 99%
“…The authors introduce the concept of speculative shadows [29,30] to understand when a load is considered to be speculative. Traditionally, any instruction that has not reached the head of the reorder buffer might be considered speculative, but speculative shadows offer a more fine-grained approach.…”
Section: Speculative Shadows and Delay-on-missmentioning
confidence: 99%
“…(1) Hiding the side-effects of speculative execution until speculation is resolved. This approach is taken by solutions such as SafeSpec [16], InvisiSpec [39], and Ghost Loads [29], and MuonTrap [1]. They hide the side-effects of transient instructions in specially designed buffers that keep them hidden until the speculation is resolved and the side-effects can be made visible.…”
Section: Related Workmentioning
confidence: 99%
“…Specifically, delay-on-miss builds on the insight that if a load hits in its own private L1 cache, it only causes minimal side-effects that can easily be delayed, as they are not part of the critical path of the memory access. To determine when an instruction is safe or unsafe, we also introduced the concept of speculative shadows [12], [13], a way of determining the earliest point at which an instruction is no longer speculative, reducing the cost of naïvely delaying all speculative instructions. Finally, we combined the delay-on-miss approach with value prediction, as a way of further mitigating the cost of delaying speculative loads.…”
Section: Introductionmentioning
confidence: 99%