2011 IEEE International Symposium on Workload Characterization (IISWC) 2011
DOI: 10.1109/iiswc.2011.6114205
|View full text |Cite
|
Sign up to set email alerts
|

Full-system analysis and characterization of interactive smartphone applications

Abstract: Smartphones have recently overtaken PCs as the primary consumer computing device in terms of annual unit shipments. Given this rapid market growth, it is important that mobile system designers and computer architects analyze the characteristics of the interactive applications users have come to expect on these platforms. With the introduction of highperformance, low-power, general purpose CPUs in the latest smartphone models, users now expect PC-like performance and a rich user experience, including high-defin… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

1
78
1
1

Year Published

2013
2013
2017
2017

Publication Types

Select...
3
3
2

Relationship

0
8

Authors

Journals

citations
Cited by 137 publications
(81 citation statements)
references
References 14 publications
1
78
1
1
Order By: Relevance
“…Unfortunately, the presence of dynamic dispatch can introduce significant overheads during method calls, which can directly impact execution time [2]. It has also been observed that smartphone applications suffer from increased code size and sparseness [3], and encounter higher branch mispredictions and instruction cache misses due to lack of instruction locality. As this paper will demonstrate, dynamic dispatch exacts a heavy toll due to the numerous control flow changes that occur from the large quantity of method call polymorphic sites.…”
Section: Introductionmentioning
confidence: 99%
“…Unfortunately, the presence of dynamic dispatch can introduce significant overheads during method calls, which can directly impact execution time [2]. It has also been observed that smartphone applications suffer from increased code size and sparseness [3], and encounter higher branch mispredictions and instruction cache misses due to lack of instruction locality. As this paper will demonstrate, dynamic dispatch exacts a heavy toll due to the numerous control flow changes that occur from the large quantity of method call polymorphic sites.…”
Section: Introductionmentioning
confidence: 99%
“…Figure 9 depicts an extract of the XML output file generated during the simulation of the synthetic program. In this example, one can observe the metrics obtained for the floating-point operation (lines [3][4][5][6][7][8][9][10][11][12][13][14]. For each operation, the parameters used in the reported simulations are informed (lines 4-7), and the collected metrics are presented (lines [8][9][10][11][12][13][14].…”
Section: Figurementioning
confidence: 99%
“…Apart from these benchmark applications, other well-known and open benchmark suites have also been used by platform providers and developers to compare different embedded platforms (processors and peripherals), [8][9][10] embedded processors alone, 11,12 or even programming language resources used in application development. 13,14 Since the source code of these benchmark suites is public, it is possible to know which performance metrics they exercise, thus allowing a more detailed analysis of the results obtained.…”
Section: Related Workmentioning
confidence: 99%
“…Gutierrez et al [16] developed a smartphone benchmark suite by characterizing representative smartphone applications. They found that smartphone applications have higher instruction cache miss rates than traditional SPEC benchmarks, and suggested increasing the cache size.…”
Section: Related Workmentioning
confidence: 99%