Proceedings of the 33rd Annual ACM/IEEE International Symposium on Microarchitecture 2000
DOI: 10.1145/360128.360154
|View full text |Cite
|
Sign up to set email alerts
|

Frequent value compression in data caches

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

3
110
0

Year Published

2005
2005
2014
2014

Publication Types

Select...
2
2
1

Relationship

2
3

Authors

Journals

citations
Cited by 139 publications
(113 citation statements)
references
References 8 publications
3
110
0
Order By: Relevance
“…As of yet, no mechanism has been proposed to implement a dynamic dictionary for caches; instead the dictionaries proposed so far are loaded statically via profiling or are "trained" for a small period of time but remain static once they are loaded with values [1,14,23,25,26]. Dynamic (adaptive) dictonaries are reported in the context of bus compression [2,7,17,19,20,24].…”
Section: Dynamic Dictionary and Compressed Data Consistencymentioning
confidence: 99%
See 4 more Smart Citations
“…As of yet, no mechanism has been proposed to implement a dynamic dictionary for caches; instead the dictionaries proposed so far are loaded statically via profiling or are "trained" for a small period of time but remain static once they are loaded with values [1,14,23,25,26]. Dynamic (adaptive) dictonaries are reported in the context of bus compression [2,7,17,19,20,24].…”
Section: Dynamic Dictionary and Compressed Data Consistencymentioning
confidence: 99%
“…Yang and Gupta [25] proposed a compressed L1 cache design where each set can store either one uncompressed line or two compressed lines. A static dictionary was used in their design.…”
Section: Design Issues Of High-performance Dfvc (Hp-dfvc)mentioning
confidence: 99%
See 3 more Smart Citations