Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture 2011
DOI: 10.1145/2155620.2155668
|View full text |Cite
|
Sign up to set email alerts
|

Formally enhanced runtime verification to ensure NoC functional correctness

Abstract: As silicon technology scales, modern processors and embedded systems are rapidly shifting towards complex chip multi-processor (CMP) and system-on-chip (SoC) designs, comprising several processor cores and IP components communicating via a network-onchip (NoC). As a side-effect of this trend, ensuring their correctness has become increasingly problematic. In particular, the networkon-chip often includes complex features and components to support the required communication bandwidth among the nodes in the syste… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
1
1
1
1

Citation Types

0
12
0

Year Published

2014
2014
2018
2018

Publication Types

Select...
4
2
2

Relationship

1
7

Authors

Journals

citations
Cited by 31 publications
(12 citation statements)
references
References 23 publications
(22 reference statements)
0
12
0
Order By: Relevance
“…Similar number for NoCAlert [14] was 97%, and the technique reached 100% after 28 cycles. The respective numbers for ForEVeR [13] were 30% and 11950 cycles. This gain in the proposed approach was achieved due to the facts that there were checkers devised for arbiter states and that the implemented state encoding was one-hot.…”
Section: Resultsmentioning
confidence: 99%
“…Similar number for NoCAlert [14] was 97%, and the technique reached 100% after 28 cycles. The respective numbers for ForEVeR [13] were 30% and 11950 cycles. This gain in the proposed approach was achieved due to the facts that there were checkers devised for arbiter states and that the implemented state encoding was one-hot.…”
Section: Resultsmentioning
confidence: 99%
“…Logic/Latch Hardening [18,32] Pipeline Redundancy [14] Monitoring and Correcting model [39,31,29] Hard Faults…”
Section: Soft Errorsmentioning
confidence: 99%
“…Second, soft errors cannot be recovered by spatial redundancy and their recovery in the End-to-End level is inefficient. The FoReVer framework [29] also presented a network level method to detect and recover from routing errors: lost, duplicated, and misrouted packets. Since FoReVer is based on End-to-End detection and recovery, dealing with soft errors requires retransmission of the whole packet instead of an online recovery.…”
Section: Routing Techniquementioning
confidence: 99%
“…Prior research [Borrione et al 2007;Parikh and Bertacco 2011] has identified four main conditions that ensure functional correctness within the network: (1) no packets are dropped, (2) delivery time is bounded, (3) no data corruption occurs, and (4) no new packet is generated within the network. These four conditions guarantee functional correctness [Borrione et al 2007;Parikh and Bertacco 2011]. The 32 identified invariances were categorized according to the aforementioned four general requirements, as described in Prodromou et al [2012].…”
Section: Ensuring Network Correctness Using Invariancesmentioning
confidence: 99%