2004
DOI: 10.1145/1037949.1024423
|View full text |Cite
|
Sign up to set email alerts
|

Formal online methods for voltage/frequency control in multiple clock domain microprocessors

Abstract: Multiple Clock Domain (MCD) processors are a promising future alternative to today's fully synchronous designs. Dynamic Voltage and Frequency Scaling (DVFS) in an MCD processor has the extra flexibility to adjust the voltage and frequency in each domain independently.Most existing DVFS approaches are profile-based offline schemes which are mainly suitable for applications whose execution characteristics are constrained and repeatable. While some work has been published about online DVFS schemes, the prior appr… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
50
0

Year Published

2008
2008
2016
2016

Publication Types

Select...
4
3
1

Relationship

0
8

Authors

Journals

citations
Cited by 33 publications
(50 citation statements)
references
References 28 publications
(68 reference statements)
0
50
0
Order By: Relevance
“…The reason is that the estimation of qualityof-service (QoS) requirement is carried out on the basis of the processor utilization, which reflects the QoS requirements only indirectly. A more recent and effective approach looks in runtime at the interprocessor queues instead [4], [19], [34], [35]. In MPSoC architectures, first-in-first-out (FIFO) queues are used as data buffers between adjacent PEs in order to smooth out the effect of quick workload variations, thereby ensuring a more stable throughput rate [36].…”
Section: B Feedback Loop Strategiesmentioning
confidence: 99%
“…The reason is that the estimation of qualityof-service (QoS) requirement is carried out on the basis of the processor utilization, which reflects the QoS requirements only indirectly. A more recent and effective approach looks in runtime at the interprocessor queues instead [4], [19], [34], [35]. In MPSoC architectures, first-in-first-out (FIFO) queues are used as data buffers between adjacent PEs in order to smooth out the effect of quick workload variations, thereby ensuring a more stable throughput rate [36].…”
Section: B Feedback Loop Strategiesmentioning
confidence: 99%
“…The change in temperature is a function of temperature and the dissipated power. The dynamic voltage and frequency scaling (DVFS) is a DTM technique that changes the operating frequency of a core at run time (Wu et al, 2004). Clock Gating (CG)or stop-go technique involves freezing all dynamic operations (Donald & Martonosi, 2006).…”
Section: The Advance Dtm Controller Designmentioning
confidence: 99%
“…The approach aims to control inter-processor queue occupancy. Wu et al [16] proposed an analytic approach to DVS in multiple clock domain (MCD) processors. It is based on a dynamic stochastic queuing model and a PI (Proportional-Integral) controller with queue occupancy being the controlled variable.…”
Section: Introductionmentioning
confidence: 99%