Proceedings of Annual IEEE/ACM International Symposium on Code Generation and Optimization 2014
DOI: 10.1145/2544137.2544161
|View full text |Cite
|
Sign up to set email alerts
|

Fix the code. Don't tweak the hardware

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
12
0

Year Published

2014
2014
2021
2021

Publication Types

Select...
4
2

Relationship

1
5

Authors

Journals

citations
Cited by 13 publications
(12 citation statements)
references
References 31 publications
0
12
0
Order By: Relevance
“…State-of-the-art compilers [33,69] have shown that it is possible to identify critical loads using static heuristics, to find sufficient independent instructions to hide memory latency, to break chains of dependent long-latency instructions that may stall the processor, to reuse already computed values, and to load data earlier in order to avoid branch re-execution and recomputations (e.g., in complex versions of software pipelining). While these techniques build on standard compiler methods like VLIW [22], PlayDoh, and Cydrom [59], they provide support for applications previously not amenable to global instruction scheduling and pipelining due to the complex control-flow and entangled dependencies.…”
Section: Motivation and Backgroundmentioning
confidence: 99%
See 4 more Smart Citations
“…State-of-the-art compilers [33,69] have shown that it is possible to identify critical loads using static heuristics, to find sufficient independent instructions to hide memory latency, to break chains of dependent long-latency instructions that may stall the processor, to reuse already computed values, and to load data earlier in order to avoid branch re-execution and recomputations (e.g., in complex versions of software pipelining). While these techniques build on standard compiler methods like VLIW [22], PlayDoh, and Cydrom [59], they provide support for applications previously not amenable to global instruction scheduling and pipelining due to the complex control-flow and entangled dependencies.…”
Section: Motivation and Backgroundmentioning
confidence: 99%
“…The SWOOP compiler decouples critical loops in Access and Execute phases, following a technique that melds program slicing [75], software decoupled access-execute [33] and lookahead compile-time scheduling [69]. Access phases are allowed to execute out-of-program-order (eagerly, before their designated time in the original program order), while Execute phases are kept in program order, as illustrated in Figure 2.…”
Section: Swoop Compilermentioning
confidence: 99%
See 3 more Smart Citations