2014 47th Annual IEEE/ACM International Symposium on Microarchitecture 2014
DOI: 10.1109/micro.2014.47
|View full text |Cite
|
Sign up to set email alerts
|

FIRM: Fair and High-Performance Memory Control for Persistent Memory Systems

Abstract: Abstract-Byte-addressable nonvolatile memories promise a new technology, persistent memory, which incorporates desirable attributes from both traditional main memory (byte-addressability and fast interface) and traditional storage (data persistence). To support data persistence, a persistent memory system requires sophisticated data duplication and ordering control for write requests. As a result, applications that manipulate persistent memory (persistent applications) have very different memory access charact… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3

Citation Types

1
49
0

Year Published

2014
2014
2018
2018

Publication Types

Select...
4
3
1

Relationship

2
6

Authors

Journals

citations
Cited by 85 publications
(50 citation statements)
references
References 74 publications
1
49
0
Order By: Relevance
“…Principles from BLISS can be employed in both of these contexts to identify and deprioritize interference-causing threads, thereby mitigating interference experienced by vulnerable threads/applications. FIRM [48] proposes request scheduling mechanisms to tackle the problem of heavy write traffic in persistent memory systems. BLISS can be combined with FIRM's write handling mechanisms to achieve better fairness in persistent memory systems.…”
Section: Related Workmentioning
confidence: 99%
“…Principles from BLISS can be employed in both of these contexts to identify and deprioritize interference-causing threads, thereby mitigating interference experienced by vulnerable threads/applications. FIRM [48] proposes request scheduling mechanisms to tackle the problem of heavy write traffic in persistent memory systems. BLISS can be combined with FIRM's write handling mechanisms to achieve better fairness in persistent memory systems.…”
Section: Related Workmentioning
confidence: 99%
“…Memory controller designs for persistent memory have been proposed in [2]- [5]. Adding a small DRAM buffer in front of SCM to improve latency and to coalesce writes was proposed in [2].…”
Section: Related Workmentioning
confidence: 99%
“…In contrast the victim cache design here supports concurrent wrap executions and handles log pruning and victim cache space management in an integrated manner. FIRM [5] describes techniques to differentiate persistent and non-persistent memory traffic, and presents scheduling algorithms to maximize system throughput and fairness. Low-level memory scheduling to improve efficiency of persistent memory access was studied in [3].…”
Section: Related Workmentioning
confidence: 99%
“…5) How to design system resources such that they can concurrently handle applications/accesspatterns that manipulate persistent data as well as those that manipulate non-persistent data. (One example recent work [204] tackled the problem of designing effective memory scheduling policies in the presence of these two different types of applications/accesspatterns. )…”
mentioning
confidence: 99%
“…Zhao et al [204] identified this problem and showed that existing memory controllers cannot appropriately handle interference between applications that access persistent data and applications that access volatile data because those that write to persistent data can greatly reduce system performance and fairness due to scheduling policies that do not take into account write requests. They develop a new memory scheduling algorithm that provides a solution to this problem by more fairly handling read and write requests of different applications [204]. Finally, it is critically important to appropriately handle the interference caused by prefetch requests generated by hardware and software prefetchers employed in almost all modern high performance systems [69,174,183].…”
mentioning
confidence: 99%