2019 28th International Conference on Parallel Architectures and Compilation Techniques (PACT) 2019
DOI: 10.1109/pact.2019.00030
|View full text |Cite
|
Sign up to set email alerts
|

FindeR: Accelerating FM-Index-Based Exact Pattern Matching in Genomic Sequences through ReRAM Technology

Abstract: Genomics is the critical key to enabling precision medicine, ensuring global food security and enforcing wildlife conservation. The massive genomic data produced by various genome sequencing technologies presents a significant challenge for genome analysis. Because of errors from sequencing machines and genetic variations, approximate pattern matching (APM) is a must for practical genome analysis. Recent work proposes FPGA, ASIC and even process-in-memory-based accelerators to boost the APM throughput by accel… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
14
0

Year Published

2019
2019
2023
2023

Publication Types

Select...
4
2
1

Relationship

2
5

Authors

Journals

citations
Cited by 26 publications
(14 citation statements)
references
References 63 publications
0
14
0
Order By: Relevance
“…The pointer pos can be low or high, as shown from the lines 2 to 3 in Figure 3(d). The computations of low and high are pointer chasing and thus suffer from poor spatial locality [14], [15], [30]. Finally, the interval (low, high) gives the range of indexes in the BW-matrix where the suffixes have the target query as a prefix.…”
Section: ) Fm-indexmentioning
confidence: 99%
See 2 more Smart Citations
“…The pointer pos can be low or high, as shown from the lines 2 to 3 in Figure 3(d). The computations of low and high are pointer chasing and thus suffer from poor spatial locality [14], [15], [30]. Finally, the interval (low, high) gives the range of indexes in the BW-matrix where the suffixes have the target query as a prefix.…”
Section: ) Fm-indexmentioning
confidence: 99%
“…Totally, 2|Q| memory accesses are required for an exact match operation of a query. The FM-Index backward search performance is seriously limited by random memory accesses [14], [15], [30], since each access opens a DRAM row but fetches only 64B. k-step FM-Index [36] is proposed to reduce the number of memory accesses to 2|Q| k by updating a k-mer, i.e., k DNA symbols, in each search iteration.…”
Section: ) Fm-indexmentioning
confidence: 99%
See 1 more Smart Citation
“…Hardware specialized acceleration is an effective way to overcome the big genomic data problem. However, most prior works focus on only accelerating genome alignment and assembly [34], particular short read alignment [8,14,21,35,37,43]. However, long read alignment and assembly are not the most-time consuming steps in a nanopore sequencing pipeline.…”
Section: Related Workmentioning
confidence: 99%
“…Ji et al (2016Ji et al ( , 2018b integrated the ReRAM based accelerator into system-level solutions. Besides neural networks, processing in ReRAM is also a potential solution for graph processing (Dai et al 2019;Song et al 2018a) and genome sequencing (Chen et al 2020;Huangfu et al 2018;Zokaee et al 2019).…”
Section: Introductionmentioning
confidence: 99%