Proceedings of the 48th International Symposium on Microarchitecture 2015
DOI: 10.1145/2830772.2830812
|View full text |Cite
|
Sign up to set email alerts
|

Filtered runahead execution with a runahead buffer

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3
1
1

Citation Types

0
23
0

Year Published

2019
2019
2024
2024

Publication Types

Select...
3
3
1

Relationship

0
7

Authors

Journals

citations
Cited by 23 publications
(23 citation statements)
references
References 31 publications
0
23
0
Order By: Relevance
“…These new instructions are executed if their source data is available, thereby generating additional memory accesses and boosting MLP. Hashemi et al [17] observed that Runahead incurs significant energy overhead due to the core front-end being operational during the entire runhead duration. They proposed to filter out the instructions leading up to the memory accesses and buffer them in a Runahead Buffer.…”
Section: Related Workmentioning
confidence: 99%
“…These new instructions are executed if their source data is available, thereby generating additional memory accesses and boosting MLP. Hashemi et al [17] observed that Runahead incurs significant energy overhead due to the core front-end being operational during the entire runhead duration. They proposed to filter out the instructions leading up to the memory accesses and buffer them in a Runahead Buffer.…”
Section: Related Workmentioning
confidence: 99%
“…However, many instructions are not necessary to calculate the memory addresses used in subsequent long-latency loads. Hashemi et al [4] propose a technique to track and execute only the chain of instructions that leads to a long-latency load. Upon a fullwindow stall, they perform an expensive backward data-flow walk in the ROB and the store queue to find a dependency chain that leads to another instance of the same stalling load.…”
Section: Filtered Runahead Executionmentioning
confidence: 99%
“…Instructions that are not part of a dependency chain that generates a long-latency load waste processor resources that could otherwise be used to generate prefetch requests. To improve the energy-efficiency and performance of runahead execution, runahead buffer [4] filters out unnecessary runahead instructions. In runahead mode, this technique identifies the chain of instructions that generates the stalling load, stores it in the runahead buffer, and keeps replaying only this instruction chain in a loop.…”
Section: Introductionmentioning
confidence: 99%
“…Other than explicitly launching a helper thread, many proposals have dealt with reducing the chance a conventional microarchitecture is blocked [2], [13], [14], [19], [30], [38], [39], [41], [51], [55], [69], [73], [100]. Many designs share a theme of checkpointing important state, clean up some structures to allow further (speculative) execution.…”
Section: Background and Related Workmentioning
confidence: 99%