2015
DOI: 10.1145/2872887.2750380
|View full text |Cite
|
Sign up to set email alerts
|

Exploring the potential of heterogeneous von neumann/dataflow execution models

Abstract: General purpose processors (GPPs), from small inorder designs to many-issue out-of-order, incur large power overheads which must be addressed for future technology generations. Major sources of overhead include structures which dynamically extract the data-dependence graph or maintain precise state. Considering irregular workloads, current specialization approaches either heavily curtail performance, or provide simply too little benefit. Interestingly, well known explicit-dataflow architectures eliminate these… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
8
0

Year Published

2015
2015
2020
2020

Publication Types

Select...
4
4

Relationship

1
7

Authors

Journals

citations
Cited by 13 publications
(8 citation statements)
references
References 34 publications
0
8
0
Order By: Relevance
“…There is a rich body of work regarding the potentials of dataflow based engines in general, and particularity coarse grained reconfigurable arrays. DySER [3], SEED [18], and MAD [19] extend von-Neumann based processors with dataflow engines that efficiently execute code blocks in a dataflow manner. Garp [20] adds a CGRA component to a simple core in order to accelerate loops.…”
Section: Related Work Dataflow Architectures and Cgrasmentioning
confidence: 99%
“…There is a rich body of work regarding the potentials of dataflow based engines in general, and particularity coarse grained reconfigurable arrays. DySER [3], SEED [18], and MAD [19] extend von-Neumann based processors with dataflow engines that efficiently execute code blocks in a dataflow manner. Garp [20] adds a CGRA component to a simple core in order to accelerate loops.…”
Section: Related Work Dataflow Architectures and Cgrasmentioning
confidence: 99%
“…WaveScalar (Swanson et al 2003) and SEED (Nowatzki et al 2015) are out-of-order dataflow architectures. The former focuses on solving the problem of long wire delays by bringing computation close to data.…”
Section: Overview and Related Workmentioning
confidence: 99%
“…None of they use low-level dataflow to address memory access. Nowatzki et al argue for a paradigm of fine-grained hybrid dataflow execution to get benefits of OOO and dataflow [51], and MAD is one such instance.…”
Section: Related Workmentioning
confidence: 99%