2017 IEEE 2nd International Verification and Security Workshop (IVSW) 2017
DOI: 10.1109/ivsw.2017.8031543
|View full text |Cite
|
Sign up to set email alerts
|

Experimentations on scan chain encryption with PRESENT

Abstract: Crypto-processors are vulnerable to scan attacks. Using the scan chain, an attacker is indeed able to observe intermediate encryption states and steal secret data closelyrelated to the key. However, scan design is the most powerful mean for test and diagnostic purpose. Several countermeasure approaches have thus been proposed for securing scan designs while preserving test efficiency, diagnosis and debugging abilities. One solution is to encrypt test patterns thanks to extra block ciphers preventing control an… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
9
0

Year Published

2018
2018
2019
2019

Publication Types

Select...
3
2

Relationship

3
2

Authors

Journals

citations
Cited by 5 publications
(9 citation statements)
references
References 18 publications
(18 reference statements)
0
9
0
Order By: Relevance
“…Several countermeasures to the scan attacks have been reported in the literature [10]- [15] to ensure the confidentiality of the exchanged test data between the tester and the circuit, while preserving the use of the test interface for authorized users. These solutions are applied to the existing test infrastructure by inserting two ciphers in the circuit.…”
Section: B Test Data Encryptionmentioning
confidence: 99%
See 3 more Smart Citations
“…Several countermeasures to the scan attacks have been reported in the literature [10]- [15] to ensure the confidentiality of the exchanged test data between the tester and the circuit, while preserving the use of the test interface for authorized users. These solutions are applied to the existing test infrastructure by inserting two ciphers in the circuit.…”
Section: B Test Data Encryptionmentioning
confidence: 99%
“…The pseudo-random sequence, used as keystream, is generated with a Non-Linear Feedback Shift Register (NLFSR) from an 80-bit secret key and an 80-bit IV. The TRIVIUM stream cipher encrypts the test interfaces of JTAG in [10], IEEE 1500 in [12] and IJTAG in [13], while the PRESENT block cipher is used to encrypt the scan chain in [14] [15]. We will see the pros and cons of each encryption method in the next section.…”
Section: B Test Data Encryptionmentioning
confidence: 99%
See 2 more Smart Citations
“…Stream ciphers have traditionally been the preferred solution for such test data encryption. This is due to their smaller area footprint and their easier management for serial encryption compared to block ciphers [6] [7].…”
Section: Introductionmentioning
confidence: 99%