2022
DOI: 10.3390/jlpea13010002
|View full text |Cite
|
Sign up to set email alerts
|

Evaluation of Dynamic Triple Modular Redundancy in an Interleaved-Multi-Threading RISC-V Core

Abstract: Functional safety is a key requirement in several application domains in which microprocessors are an essential part. A number of redundancy techniques have been developed with the common purpose of protecting circuits against single event upset (SEU) faults. In microprocessors, functional redundancy may be achieved through multi-core or simultaneous-multi-threading architectures, with techniques that are broadly classifiable as Double Modular Redundancy (DMR) and Triple Modular Redundancy (TMR), involving the… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
2
1

Citation Types

0
4
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
4
3
1

Relationship

2
6

Authors

Journals

citations
Cited by 13 publications
(10 citation statements)
references
References 40 publications
0
4
0
Order By: Relevance
“…The framework for this study is the Klessydra-fT13 architecture, a fault-tolerant 32-bit RISC-V IMT soft processor integrated inside the PULPino [23] open-source System-on-Chip architecture. The processor is composed of a fault-tolerant non-accelerated scalar core, resembling Klessydra fT03 [7][8][9], tightly coupled with a fault-tolerant configurable accelerating co-processor unit (Figure 1).…”
Section: Methodsmentioning
confidence: 99%
See 1 more Smart Citation
“…The framework for this study is the Klessydra-fT13 architecture, a fault-tolerant 32-bit RISC-V IMT soft processor integrated inside the PULPino [23] open-source System-on-Chip architecture. The processor is composed of a fault-tolerant non-accelerated scalar core, resembling Klessydra fT03 [7][8][9], tightly coupled with a fault-tolerant configurable accelerating co-processor unit (Figure 1).…”
Section: Methodsmentioning
confidence: 99%
“…We aim to start from the use of an Interleaved-Multi-Threading (IMT) core modified to achieve fault-tolerant execution [7][8][9] thanks to the implementation of a new FT technique called Buffered Triple-Modular Redundancy (TMR), able to integrate both TMR and temporal redundancy, adding the support of a vector acceleration unit [10,11] and describing all the performance in terms of fault tolerance and reliability to obtain a completely fault-tolerant accelerated core.…”
Section: Introductionmentioning
confidence: 99%
“…Temporal redundancy is in fact, widely used in different embedded platforms, including multi-core and multi-threaded architectures [25]- [28] where the aspect of redundant multithreading at application-level holds notable significance, as highlighted in [29], [30]. Regarding HPC systems, some effort has been done to achieve temporal redundancy via software replication.…”
Section: Related Workmentioning
confidence: 99%
“…Many fault-tolerant techniques were developed over the years based on multi-thread and multi-core architectures [16]. In Multi-Core (MC) architectures, some approaches were adapted from the Simultaneous Multi-Threading (SMT) field [1].…”
Section: Related Workmentioning
confidence: 99%