2022 IEEE/ACM Workshop on Memory Centric High Performance Computing (MCHPC) 2022
DOI: 10.1109/mchpc56545.2022.00007
|View full text |Cite
|
Sign up to set email alerts
|

Evaluating Emerging CXL-enabled Memory Pooling for HPC Systems

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
3

Citation Types

0
3
0

Year Published

2023
2023
2024
2024

Publication Types

Select...
4
2

Relationship

0
6

Authors

Journals

citations
Cited by 10 publications
(3 citation statements)
references
References 23 publications
0
3
0
Order By: Relevance
“…16,[18][19][20][21] Jacob et al developed an emulator to evaluate a memory subsystem design leveraging CXL-enabled memory pooling and demonstrated that a disaggregated memory system can effectively support bandwidth-intensive unstructured mesh-based applications like OpenFOAM. 22 Debendra discussed the potential and limitations of using CXL to build composable and scale-out systems spanning the rack through the pod at the data center. 23 Furthermore, many studies focus on efficient memory management, 16,[24][25][26] as the implementation of memory disaggregation typically involves a concern about bandwidth and latency penalties over the network, which may adversely affect application performance.…”
Section: Related Workmentioning
confidence: 99%
See 1 more Smart Citation
“…16,[18][19][20][21] Jacob et al developed an emulator to evaluate a memory subsystem design leveraging CXL-enabled memory pooling and demonstrated that a disaggregated memory system can effectively support bandwidth-intensive unstructured mesh-based applications like OpenFOAM. 22 Debendra discussed the potential and limitations of using CXL to build composable and scale-out systems spanning the rack through the pod at the data center. 23 Furthermore, many studies focus on efficient memory management, 16,[24][25][26] as the implementation of memory disaggregation typically involves a concern about bandwidth and latency penalties over the network, which may adversely affect application performance.…”
Section: Related Workmentioning
confidence: 99%
“…Wang et al developed Canvas to improve the slowdown by applications co‐running on the remote memory, 17 as well as other work on fast data swap between compute nodes and remote memory nodes 16,18–21 . Jacob et al developed an emulator to evaluate a memory subsystem design leveraging CXL‐enabled memory pooling and demonstrated that a disaggregated memory system can effectively support bandwidth‐intensive unstructured mesh‐based applications like OpenFOAM 22 . Debendra discussed the potential and limitations of using CXL to build composable and scale‐out systems spanning the rack through the pod at the data center 23 .…”
Section: Related Workmentioning
confidence: 99%
“…CXL analysis and evaluation: CXL is an emerging standard that is attracting attention not only from industry but also from research communities. Analysis and evaluation of CXL-enabled systems are being conducted ranging from memory pooling in general [12,23,41,44,46], to more specific applications such as machine learning [19] and in-memory databases [21]. CXL studies involving accelerators such as GPU and FPGA are appearing [3,19].…”
Section: Related Workmentioning
confidence: 99%