2006 IEEE International Symposium on Workload Characterization 2006
DOI: 10.1109/iiswc.2006.302733
|View full text |Cite
|
Sign up to set email alerts
|

Evaluating Benchmark Subsetting Approaches

Abstract: To reduce the simulation time to a tractable amount or due to compilation (or other related) problems, computer architects often simulate only a subset of the benchmarks in a benchmark suite. However, if the architect chooses a subset of benchmarks that is not representative, the subsequent simulation results will, at best, be misleading or, at worst, yield incorrect conclusions. To address this problem, computer architects have recently proposed several statistically-based approaches to subset a benchmark sui… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
2
1
1
1

Citation Types

0
12
0

Year Published

2007
2007
2018
2018

Publication Types

Select...
4
3
2

Relationship

1
8

Authors

Journals

citations
Cited by 25 publications
(12 citation statements)
references
References 17 publications
0
12
0
Order By: Relevance
“…[11] applies clustering techniques to architecturally-independent attributes in order to reduce the size of a benchmark suite trace set for simulation. Several studies investigate the application of PCA (Principal Component Analysis) and clustering to the subsetting of benchmarks: [12] focuses on finding similar architectureindependent phases across benchmark-input pairs; [13] analyzes PMU events for SPEC CPU2006 and applies PCA, clustering and other statistical methods to this data to compare and subset the benchmarks; and [14] compares several subsetting approaches and concludes that PCA and Plackett and Burman (P&B) outperform the others. [11] uses SPEC CPU2000 and ICA (Independent Component Analysis) to identify a representative subset of benchmarks for use in simulation studies and demonstrates the improved performance of ICA over PCA and other approaches.…”
Section: Introductionmentioning
confidence: 99%
“…[11] applies clustering techniques to architecturally-independent attributes in order to reduce the size of a benchmark suite trace set for simulation. Several studies investigate the application of PCA (Principal Component Analysis) and clustering to the subsetting of benchmarks: [12] focuses on finding similar architectureindependent phases across benchmark-input pairs; [13] analyzes PMU events for SPEC CPU2006 and applies PCA, clustering and other statistical methods to this data to compare and subset the benchmarks; and [14] compares several subsetting approaches and concludes that PCA and Plackett and Burman (P&B) outperform the others. [11] uses SPEC CPU2000 and ICA (Independent Component Analysis) to identify a representative subset of benchmarks for use in simulation studies and demonstrates the improved performance of ICA over PCA and other approaches.…”
Section: Introductionmentioning
confidence: 99%
“…While previous work has explored dimensionalityreduction techniques such as principal component analysis (PCA) [7], correlation elimination [11], genetic algorithm [11], and Plackett and Burmann (P&B) design technique [10] in combination k-means and hierarchical clustering techniques [7], the combination of PCA and hierarchical clustering has proven to be the most successful [10]. We adopt and apply these best practices in our work.…”
Section: Related Workmentioning
confidence: 99%
“…Q1-1 through Q4-1 show that TransPlant is capable is generating not only outlier programs but also programs with traditional performance characteristics. Further, if a cutoff value is used to choose a subset of programs able to represent the general behavior of all of the benchmarks [32], Q2-1 and Q3-1 are always included.…”
Section: ) Clusteringmentioning
confidence: 99%