2012
DOI: 10.2494/photopolymer.25.559
|View full text |Cite
|
Sign up to set email alerts
|

EUV Resist Process Performance Investigations on the NXE3100 Full Field Scanner

Abstract: Extreme Ultraviolet (EUV) Lithography is a candidate for device manufacturing at the 22nm half pitch node and beyond. The key challenge for EUV resists remains to simultaneously meet the requirements for Sensitivity, Resolution and Line-edge-roughness (LER) for Line/Space features (LS), respectively local CD uniformity (LCDU) for Contact holes (CH). The introduction of the ASML NXE:3100 pre-production EUV scanner at Imec, with off-axis illumination provides resolution capability well below 22nm.In this paper w… Show more

Help me understand this report

Search citation statements

Order By: Relevance

Paper Sections

Select...
4
1

Citation Types

0
19
0

Year Published

2014
2014
2024
2024

Publication Types

Select...
6
3

Relationship

0
9

Authors

Journals

citations
Cited by 28 publications
(19 citation statements)
references
References 5 publications
0
19
0
Order By: Relevance
“…7) Because of this trade-off relationship, it is difficult to simultaneously meet the requirements for resolution, LER, and sensitivity. 8,9) For EUV lithography, the feasibility of sub-10-nm half-pitch fabrication has been investigated on the basis of the performance of the latest chemically amplified resists. 10) It has been reported that LER rapidly increases in the sub-10-nm half-pitch region.…”
Section: Introductionmentioning
confidence: 99%
“…7) Because of this trade-off relationship, it is difficult to simultaneously meet the requirements for resolution, LER, and sensitivity. 8,9) For EUV lithography, the feasibility of sub-10-nm half-pitch fabrication has been investigated on the basis of the performance of the latest chemically amplified resists. 10) It has been reported that LER rapidly increases in the sub-10-nm half-pitch region.…”
Section: Introductionmentioning
confidence: 99%
“…The developer is then replaced by isopropanol as a development stopper (4) and the isopropanol is evaporated on a hot plate (5). In a last step, the mask is hard baked at 100 °C to increase the radiation stability of the resist under EUV exposure (6). As a result, the electron beam resist gets more stable and can be used directly as a phaseshifting mask material.…”
Section: Polymer-based Transmissions Masksmentioning
confidence: 99%
“…For the qualification of next-generation photoresists in extreme ultraviolet (EUV) lithography, patterning tools and technologies are even more limited because often a resolution beyond the state-of-the-art is required. Research on the photoresists and the related processing is mostly done with high-volume manufacturing (HVM) tools 6 and at synchrotron facilities [7][8][9][10] . For photoresist development, process optimization as well as quality control, mostly dose scans and resolution tests are required.…”
Section: Introductionmentioning
confidence: 99%
“…Qualifying next-generation photoresists for extreme ultraviolet (EUV) lithography presents even greater challenges, as it often demands resolutions beyond the state-of-the-art. Research on photoresists and related processing relies mostly on high-volume manufacturing (HVM) tools 6 and synchrotron facilities [7][8][9][10] . To optimize the photoresist development process and ensure quality control, sensitivity, contrast and resolution tests are necessary.…”
Section: Introductionmentioning
confidence: 99%